Started : "Synthesize - XST". Running xst... Command Line: xst -intstyle ise -ifn "/home/peter/5i25/configs/hostmot2/source/hostmot2/TopPCIHostMot2.xst" -ofn "/home/peter/5i25/configs/hostmot2/source/hostmot2/TopPCIHostMot2.syr" Reading design: TopPCIHostMot2.prj ========================================================================= * HDL Parsing * ========================================================================= Parsing VHDL file "/home/peter/5i25/configs/hostmot2/source/hostmot2/log2.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "/home/peter/5i25/configs/hostmot2/source/hostmot2/decodedstrobe.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "/home/peter/5i25/configs/hostmot2/source/hostmot2/oneofndecode.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "/home/peter/5i25/configs/hostmot2/source/hostmot2/adpram.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/peter/5i25/configs/hostmot2/source/hostmot2/d8o8sqws.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/peter/5i25/configs/hostmot2/source/hostmot2/sslbpram.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/peter/5i25/configs/hostmot2/source/hostmot2/uartr8.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/peter/5i25/configs/hostmot2/source/hostmot2/uartx8.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/peter/5i25/configs/hostmot2/source/hostmot2/sserialwa.vhd" into library work Parsing entity . Parsing architecture of entity . ERROR:HDLCompiler:104 - "/home/peter/5i25/configs/hostmot2/source/hostmot2/sserialwa.vhd" Line 279: Cannot find in library . Please ensure that the library was compiled, and that a library and a use clause are present in the VHDL file. ERROR:HDLCompiler:854 - "/home/peter/5i25/configs/hostmot2/source/hostmot2/sserialwa.vhd" Line 107: Unit ignored due to previous errors. VHDL file /home/peter/5i25/configs/hostmot2/source/hostmot2/sserialwa.vhd ignored due to errors --> Total memory usage is 101864 kilobytes Number of errors : 2 ( 0 filtered) Number of warnings : 0 ( 0 filtered) Number of infos : 0 ( 0 filtered) Process "Synthesize - XST" failed Preparing to edit 5i25.ucf...