linuxcnc@linuxcnc:~$ halrun halcmd: loadrt hostmot2 Note: Using POSIX realtime hm2: loading Mesa HostMot2 driver version 0.15 halcmd: loadrt hm2_eth board_ip="10.10.10.10" hm2_eth: loading Mesa AnyIO HostMot2 ethernet driver version 0.2 hm2_eth: 10.10.10.10: Hardware address: 00:60:1b:10:40:d6 hm2_eth: discovered 7I76E-16 hm2/hm2_7i76e.0: Smart Serial Firmware Version 43 Board hm2_7i76e.0.7i76.0.0 Hardware Mode 0 = standard Board hm2_7i76e.0.7i76.0.0 Software Mode 0 = io_spin Board hm2_7i76e.0.7i76.0.0 Software Mode 1 = io_ana_spin Board hm2_7i76e.0.7i76.0.0 Software Mode 2 = io_enc_ana_spin_fv hm2/hm2_7i76e.0: 51 I/O Pins used: hm2/hm2_7i76e.0: IO Pin 000 (P1-01): StepGen #0, pin Direction (Output) hm2/hm2_7i76e.0: IO Pin 001 (P1-14): StepGen #0, pin Step (Output) hm2/hm2_7i76e.0: IO Pin 002 (P1-02): StepGen #1, pin Direction (Output) hm2/hm2_7i76e.0: IO Pin 003 (P1-15): StepGen #1, pin Step (Output) hm2/hm2_7i76e.0: IO Pin 004 (P1-03): StepGen #2, pin Direction (Output) hm2/hm2_7i76e.0: IO Pin 005 (P1-16): StepGen #2, pin Step (Output) hm2/hm2_7i76e.0: IO Pin 006 (P1-04): StepGen #3, pin Direction (Output) hm2/hm2_7i76e.0: IO Pin 007 (P1-17): StepGen #3, pin Step (Output) hm2/hm2_7i76e.0: IO Pin 008 (P1-05): StepGen #4, pin Direction (Output) hm2/hm2_7i76e.0: IO Pin 009 (P1-06): StepGen #4, pin Step (Output) hm2/hm2_7i76e.0: IO Pin 010 (P1-07): Smart Serial Interface #0, pin TxData0 (Output) hm2/hm2_7i76e.0: IO Pin 011 (P1-08): Smart Serial Interface #0, pin RxData0 (Input) hm2/hm2_7i76e.0: IO Pin 012 (P1-09): IOPort hm2/hm2_7i76e.0: IO Pin 013 (P1-10): IOPort hm2/hm2_7i76e.0: IO Pin 014 (P1-11): Encoder #0, pin Index (Input) hm2/hm2_7i76e.0: IO Pin 015 (P1-12): Encoder #0, pin B (Input) hm2/hm2_7i76e.0: IO Pin 016 (P1-13): Encoder #0, pin A (Input) hm2/hm2_7i76e.0: IO Pin 017 (P2-01): IOPort hm2/hm2_7i76e.0: IO Pin 018 (P2-14): IOPort hm2/hm2_7i76e.0: IO Pin 019 (P2-02): IOPort hm2/hm2_7i76e.0: IO Pin 020 (P2-15): IOPort hm2/hm2_7i76e.0: IO Pin 021 (P2-03): IOPort hm2/hm2_7i76e.0: IO Pin 022 (P2-16): IOPort hm2/hm2_7i76e.0: IO Pin 023 (P2-04): IOPort hm2/hm2_7i76e.0: IO Pin 024 (P2-17): IOPort hm2/hm2_7i76e.0: IO Pin 025 (P2-05): IOPort hm2/hm2_7i76e.0: IO Pin 026 (P2-06): IOPort hm2/hm2_7i76e.0: IO Pin 027 (P2-07): IOPort hm2/hm2_7i76e.0: IO Pin 028 (P2-08): IOPort hm2/hm2_7i76e.0: IO Pin 029 (P2-09): IOPort hm2/hm2_7i76e.0: IO Pin 030 (P2-10): IOPort hm2/hm2_7i76e.0: IO Pin 031 (P2-11): IOPort hm2/hm2_7i76e.0: IO Pin 032 (P2-12): IOPort hm2/hm2_7i76e.0: IO Pin 033 (P2-13): IOPort hm2/hm2_7i76e.0: IO Pin 034 (P3-01): IOPort hm2/hm2_7i76e.0: IO Pin 035 (P3-14): IOPort hm2/hm2_7i76e.0: IO Pin 036 (P3-02): IOPort hm2/hm2_7i76e.0: IO Pin 037 (P3-15): IOPort hm2/hm2_7i76e.0: IO Pin 038 (P3-03): IOPort hm2/hm2_7i76e.0: IO Pin 039 (P3-16): IOPort hm2/hm2_7i76e.0: IO Pin 040 (P3-04): IOPort hm2/hm2_7i76e.0: IO Pin 041 (P3-17): IOPort hm2/hm2_7i76e.0: IO Pin 042 (P3-05): IOPort hm2/hm2_7i76e.0: IO Pin 043 (P3-06): IOPort hm2/hm2_7i76e.0: IO Pin 044 (P3-07): IOPort hm2/hm2_7i76e.0: IO Pin 045 (P3-08): IOPort hm2/hm2_7i76e.0: IO Pin 046 (P3-09): IOPort hm2/hm2_7i76e.0: IO Pin 047 (P3-10): IOPort hm2/hm2_7i76e.0: IO Pin 048 (P3-11): IOPort hm2/hm2_7i76e.0: IO Pin 049 (P3-12): IOPort hm2/hm2_7i76e.0: IO Pin 050 (P3-13): IOPort hm2/hm2_7i76e.0: registered halcmd: show pin Component Pins: Owner Type Dir Value Name 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-00 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-00-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-01 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-01-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-02 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-02-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-03 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-03-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-04 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-04-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-05 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-05-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-06 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-06-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-07 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-07-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-08 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-08-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-09 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-09-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-10 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-10-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-11 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-11-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-12 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-12-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-13 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-13-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-14 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-14-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-15 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-15-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-16 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-16-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-17 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-17-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-18 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-18-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-19 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-19-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-20 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-20-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-21 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-21-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-22 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-22-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-23 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-23-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-24 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-24-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-25 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-25-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-26 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-26-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-27 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-27-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-28 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-28-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-29 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-29-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-30 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-30-not 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-31 9 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-31-not 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-00 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-01 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-02 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-03 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-04 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-05 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-06 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-07 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-08 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-09 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-10 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-11 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-12 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-13 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-14 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-15 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.spindir 9 bit IN FALSE hm2_7i76e.0.7i76.0.0.spinena 9 float IN 0 hm2_7i76e.0.7i76.0.0.spinout 9 float IN 100 hm2_7i76e.0.dpll.01.timer-us 9 float IN 100 hm2_7i76e.0.dpll.02.timer-us 9 float IN 100 hm2_7i76e.0.dpll.03.timer-us 9 float IN 100 hm2_7i76e.0.dpll.04.timer-us 9 float IN -1 hm2_7i76e.0.dpll.base-freq-khz 9 u32 OUT 0x00000000 hm2_7i76e.0.dpll.ddsize 9 float OUT 0 hm2_7i76e.0.dpll.phase-error-us 9 u32 IN 0x00400000 hm2_7i76e.0.dpll.plimit 9 u32 OUT 0x00000001 hm2_7i76e.0.dpll.prescale 9 u32 IN 0x000007D0 hm2_7i76e.0.dpll.time-const 9 s32 OUT 0 hm2_7i76e.0.encoder.00.count 9 s32 OUT 0 hm2_7i76e.0.encoder.00.count-latched 9 bit I/O FALSE hm2_7i76e.0.encoder.00.index-enable 9 bit OUT TRUE hm2_7i76e.0.encoder.00.input-a 9 bit OUT FALSE hm2_7i76e.0.encoder.00.input-b 9 bit OUT FALSE hm2_7i76e.0.encoder.00.input-index 9 bit IN FALSE hm2_7i76e.0.encoder.00.latch-enable 9 bit IN FALSE hm2_7i76e.0.encoder.00.latch-polarity 9 float OUT 0 hm2_7i76e.0.encoder.00.position 9 float OUT 0 hm2_7i76e.0.encoder.00.position-latched 9 bit OUT FALSE hm2_7i76e.0.encoder.00.quad-error 9 bit IN FALSE hm2_7i76e.0.encoder.00.quad-error-enable 9 s32 OUT 6391 hm2_7i76e.0.encoder.00.rawcounts 9 s32 OUT 6391 hm2_7i76e.0.encoder.00.rawlatch 9 bit IN FALSE hm2_7i76e.0.encoder.00.reset 9 float OUT 0 hm2_7i76e.0.encoder.00.velocity 9 u32 IN 0x017D7840 hm2_7i76e.0.encoder.sample-frequency 9 bit OUT FALSE hm2_7i76e.0.gpio.000.in 9 bit OUT TRUE hm2_7i76e.0.gpio.000.in_not 9 bit OUT FALSE hm2_7i76e.0.gpio.001.in 9 bit OUT TRUE hm2_7i76e.0.gpio.001.in_not 9 bit OUT FALSE hm2_7i76e.0.gpio.002.in 9 bit OUT TRUE hm2_7i76e.0.gpio.002.in_not 9 bit OUT FALSE hm2_7i76e.0.gpio.003.in 9 bit OUT TRUE hm2_7i76e.0.gpio.003.in_not 9 bit OUT FALSE hm2_7i76e.0.gpio.004.in 9 bit OUT TRUE hm2_7i76e.0.gpio.004.in_not 9 bit OUT FALSE hm2_7i76e.0.gpio.005.in 9 bit OUT TRUE hm2_7i76e.0.gpio.005.in_not 9 bit OUT FALSE hm2_7i76e.0.gpio.006.in 9 bit OUT TRUE hm2_7i76e.0.gpio.006.in_not 9 bit OUT FALSE hm2_7i76e.0.gpio.007.in 9 bit OUT TRUE hm2_7i76e.0.gpio.007.in_not 9 bit OUT FALSE hm2_7i76e.0.gpio.008.in 9 bit OUT TRUE hm2_7i76e.0.gpio.008.in_not 9 bit OUT FALSE hm2_7i76e.0.gpio.009.in 9 bit OUT TRUE hm2_7i76e.0.gpio.009.in_not 9 bit OUT TRUE hm2_7i76e.0.gpio.010.in 9 bit OUT FALSE hm2_7i76e.0.gpio.010.in_not 9 bit OUT TRUE hm2_7i76e.0.gpio.011.in 9 bit OUT FALSE hm2_7i76e.0.gpio.011.in_not 9 bit OUT TRUE hm2_7i76e.0.gpio.012.in 9 bit OUT FALSE hm2_7i76e.0.gpio.012.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.012.out 9 bit OUT TRUE hm2_7i76e.0.gpio.013.in 9 bit OUT FALSE hm2_7i76e.0.gpio.013.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.013.out 9 bit OUT TRUE hm2_7i76e.0.gpio.014.in 9 bit OUT FALSE hm2_7i76e.0.gpio.014.in_not 9 bit OUT FALSE hm2_7i76e.0.gpio.015.in 9 bit OUT TRUE hm2_7i76e.0.gpio.015.in_not 9 bit OUT TRUE hm2_7i76e.0.gpio.016.in 9 bit OUT FALSE hm2_7i76e.0.gpio.016.in_not 9 bit OUT TRUE hm2_7i76e.0.gpio.017.in 9 bit OUT FALSE hm2_7i76e.0.gpio.017.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.017.out 9 bit OUT TRUE hm2_7i76e.0.gpio.018.in 9 bit OUT FALSE hm2_7i76e.0.gpio.018.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.018.out 9 bit OUT TRUE hm2_7i76e.0.gpio.019.in 9 bit OUT FALSE hm2_7i76e.0.gpio.019.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.019.out 9 bit OUT TRUE hm2_7i76e.0.gpio.020.in 9 bit OUT FALSE hm2_7i76e.0.gpio.020.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.020.out 9 bit OUT TRUE hm2_7i76e.0.gpio.021.in 9 bit OUT FALSE hm2_7i76e.0.gpio.021.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.021.out 9 bit OUT TRUE hm2_7i76e.0.gpio.022.in 9 bit OUT FALSE hm2_7i76e.0.gpio.022.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.022.out 9 bit OUT TRUE hm2_7i76e.0.gpio.023.in 9 bit OUT FALSE hm2_7i76e.0.gpio.023.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.023.out 9 bit OUT TRUE hm2_7i76e.0.gpio.024.in 9 bit OUT FALSE hm2_7i76e.0.gpio.024.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.024.out 9 bit OUT TRUE hm2_7i76e.0.gpio.025.in 9 bit OUT FALSE hm2_7i76e.0.gpio.025.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.025.out 9 bit OUT TRUE hm2_7i76e.0.gpio.026.in 9 bit OUT FALSE hm2_7i76e.0.gpio.026.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.026.out 9 bit OUT TRUE hm2_7i76e.0.gpio.027.in 9 bit OUT FALSE hm2_7i76e.0.gpio.027.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.027.out 9 bit OUT TRUE hm2_7i76e.0.gpio.028.in 9 bit OUT FALSE hm2_7i76e.0.gpio.028.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.028.out 9 bit OUT TRUE hm2_7i76e.0.gpio.029.in 9 bit OUT FALSE hm2_7i76e.0.gpio.029.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.029.out 9 bit OUT TRUE hm2_7i76e.0.gpio.030.in 9 bit OUT FALSE hm2_7i76e.0.gpio.030.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.030.out 9 bit OUT TRUE hm2_7i76e.0.gpio.031.in 9 bit OUT FALSE hm2_7i76e.0.gpio.031.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.031.out 9 bit OUT TRUE hm2_7i76e.0.gpio.032.in 9 bit OUT FALSE hm2_7i76e.0.gpio.032.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.032.out 9 bit OUT TRUE hm2_7i76e.0.gpio.033.in 9 bit OUT FALSE hm2_7i76e.0.gpio.033.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.033.out 9 bit OUT TRUE hm2_7i76e.0.gpio.034.in 9 bit OUT FALSE hm2_7i76e.0.gpio.034.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.034.out 9 bit OUT TRUE hm2_7i76e.0.gpio.035.in 9 bit OUT FALSE hm2_7i76e.0.gpio.035.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.035.out 9 bit OUT TRUE hm2_7i76e.0.gpio.036.in 9 bit OUT FALSE hm2_7i76e.0.gpio.036.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.036.out 9 bit OUT TRUE hm2_7i76e.0.gpio.037.in 9 bit OUT FALSE hm2_7i76e.0.gpio.037.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.037.out 9 bit OUT TRUE hm2_7i76e.0.gpio.038.in 9 bit OUT FALSE hm2_7i76e.0.gpio.038.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.038.out 9 bit OUT TRUE hm2_7i76e.0.gpio.039.in 9 bit OUT FALSE hm2_7i76e.0.gpio.039.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.039.out 9 bit OUT TRUE hm2_7i76e.0.gpio.040.in 9 bit OUT FALSE hm2_7i76e.0.gpio.040.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.040.out 9 bit OUT TRUE hm2_7i76e.0.gpio.041.in 9 bit OUT FALSE hm2_7i76e.0.gpio.041.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.041.out 9 bit OUT TRUE hm2_7i76e.0.gpio.042.in 9 bit OUT FALSE hm2_7i76e.0.gpio.042.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.042.out 9 bit OUT TRUE hm2_7i76e.0.gpio.043.in 9 bit OUT FALSE hm2_7i76e.0.gpio.043.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.043.out 9 bit OUT TRUE hm2_7i76e.0.gpio.044.in 9 bit OUT FALSE hm2_7i76e.0.gpio.044.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.044.out 9 bit OUT TRUE hm2_7i76e.0.gpio.045.in 9 bit OUT FALSE hm2_7i76e.0.gpio.045.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.045.out 9 bit OUT TRUE hm2_7i76e.0.gpio.046.in 9 bit OUT FALSE hm2_7i76e.0.gpio.046.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.046.out 9 bit OUT TRUE hm2_7i76e.0.gpio.047.in 9 bit OUT FALSE hm2_7i76e.0.gpio.047.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.047.out 9 bit OUT TRUE hm2_7i76e.0.gpio.048.in 9 bit OUT FALSE hm2_7i76e.0.gpio.048.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.048.out 9 bit OUT TRUE hm2_7i76e.0.gpio.049.in 9 bit OUT FALSE hm2_7i76e.0.gpio.049.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.049.out 9 bit OUT TRUE hm2_7i76e.0.gpio.050.in 9 bit OUT FALSE hm2_7i76e.0.gpio.050.in_not 9 bit IN FALSE hm2_7i76e.0.gpio.050.out 9 bit IN FALSE hm2_7i76e.0.led.CR01 9 bit IN FALSE hm2_7i76e.0.led.CR02 9 bit IN FALSE hm2_7i76e.0.led.CR03 9 bit IN FALSE hm2_7i76e.0.led.CR04 9 bit OUT FALSE hm2_7i76e.0.packet-error 9 bit OUT FALSE hm2_7i76e.0.packet-error-exceeded 9 s32 OUT 0 hm2_7i76e.0.packet-error-level 9 s32 OUT 0 hm2_7i76e.0.read-request.time 9 s32 OUT 0 hm2_7i76e.0.read.time 9 u32 OUT 0x00000000 hm2_7i76e.0.sserial.port-0.fault-count 9 u32 OUT 0x00000000 hm2_7i76e.0.sserial.port-0.port_state 9 bit IN TRUE hm2_7i76e.0.sserial.port-0.run 9 bit IN FALSE hm2_7i76e.0.stepgen.00.control-type 9 s32 OUT 0 hm2_7i76e.0.stepgen.00.counts 9 float OUT 0 hm2_7i76e.0.stepgen.00.dbg_err_at_match 9 float OUT 0 hm2_7i76e.0.stepgen.00.dbg_ff_vel 9 float OUT 0 hm2_7i76e.0.stepgen.00.dbg_pos_minus_prev_cmd 9 float OUT 0 hm2_7i76e.0.stepgen.00.dbg_s_to_match 9 s32 OUT 0 hm2_7i76e.0.stepgen.00.dbg_step_rate 9 float OUT 0 hm2_7i76e.0.stepgen.00.dbg_vel_error 9 bit IN FALSE hm2_7i76e.0.stepgen.00.enable 9 float IN 0 hm2_7i76e.0.stepgen.00.position-cmd 9 float OUT 0 hm2_7i76e.0.stepgen.00.position-fb 9 float IN 0 hm2_7i76e.0.stepgen.00.velocity-cmd 9 float OUT 0 hm2_7i76e.0.stepgen.00.velocity-fb 9 bit IN FALSE hm2_7i76e.0.stepgen.01.control-type 9 s32 OUT 0 hm2_7i76e.0.stepgen.01.counts 9 float OUT 0 hm2_7i76e.0.stepgen.01.dbg_err_at_match 9 float OUT 0 hm2_7i76e.0.stepgen.01.dbg_ff_vel 9 float OUT 0 hm2_7i76e.0.stepgen.01.dbg_pos_minus_prev_cmd 9 float OUT 0 hm2_7i76e.0.stepgen.01.dbg_s_to_match 9 s32 OUT 0 hm2_7i76e.0.stepgen.01.dbg_step_rate 9 float OUT 0 hm2_7i76e.0.stepgen.01.dbg_vel_error 9 bit IN FALSE hm2_7i76e.0.stepgen.01.enable 9 float IN 0 hm2_7i76e.0.stepgen.01.position-cmd 9 float OUT 0 hm2_7i76e.0.stepgen.01.position-fb 9 float IN 0 hm2_7i76e.0.stepgen.01.velocity-cmd 9 float OUT 0 hm2_7i76e.0.stepgen.01.velocity-fb 9 bit IN FALSE hm2_7i76e.0.stepgen.02.control-type 9 s32 OUT 0 hm2_7i76e.0.stepgen.02.counts 9 float OUT 0 hm2_7i76e.0.stepgen.02.dbg_err_at_match 9 float OUT 0 hm2_7i76e.0.stepgen.02.dbg_ff_vel 9 float OUT 0 hm2_7i76e.0.stepgen.02.dbg_pos_minus_prev_cmd 9 float OUT 0 hm2_7i76e.0.stepgen.02.dbg_s_to_match 9 s32 OUT 0 hm2_7i76e.0.stepgen.02.dbg_step_rate 9 float OUT 0 hm2_7i76e.0.stepgen.02.dbg_vel_error 9 bit IN FALSE hm2_7i76e.0.stepgen.02.enable 9 float IN 0 hm2_7i76e.0.stepgen.02.position-cmd 9 float OUT 0 hm2_7i76e.0.stepgen.02.position-fb 9 float IN 0 hm2_7i76e.0.stepgen.02.velocity-cmd 9 float OUT 0 hm2_7i76e.0.stepgen.02.velocity-fb 9 bit IN FALSE hm2_7i76e.0.stepgen.03.control-type 9 s32 OUT 0 hm2_7i76e.0.stepgen.03.counts 9 float OUT 0 hm2_7i76e.0.stepgen.03.dbg_err_at_match 9 float OUT 0 hm2_7i76e.0.stepgen.03.dbg_ff_vel 9 float OUT 0 hm2_7i76e.0.stepgen.03.dbg_pos_minus_prev_cmd 9 float OUT 0 hm2_7i76e.0.stepgen.03.dbg_s_to_match 9 s32 OUT 0 hm2_7i76e.0.stepgen.03.dbg_step_rate 9 float OUT 0 hm2_7i76e.0.stepgen.03.dbg_vel_error 9 bit IN FALSE hm2_7i76e.0.stepgen.03.enable 9 float IN 0 hm2_7i76e.0.stepgen.03.position-cmd 9 float OUT 0 hm2_7i76e.0.stepgen.03.position-fb 9 float IN 0 hm2_7i76e.0.stepgen.03.velocity-cmd 9 float OUT 0 hm2_7i76e.0.stepgen.03.velocity-fb 9 bit IN FALSE hm2_7i76e.0.stepgen.04.control-type 9 s32 OUT 0 hm2_7i76e.0.stepgen.04.counts 9 float OUT 0 hm2_7i76e.0.stepgen.04.dbg_err_at_match 9 float OUT 0 hm2_7i76e.0.stepgen.04.dbg_ff_vel 9 float OUT 0 hm2_7i76e.0.stepgen.04.dbg_pos_minus_prev_cmd 9 float OUT 0 hm2_7i76e.0.stepgen.04.dbg_s_to_match 9 s32 OUT 0 hm2_7i76e.0.stepgen.04.dbg_step_rate 9 float OUT 0 hm2_7i76e.0.stepgen.04.dbg_vel_error 9 bit IN FALSE hm2_7i76e.0.stepgen.04.enable 9 float IN 0 hm2_7i76e.0.stepgen.04.position-cmd 9 float OUT 0 hm2_7i76e.0.stepgen.04.position-fb 9 float IN 0 hm2_7i76e.0.stepgen.04.velocity-cmd 9 float OUT 0 hm2_7i76e.0.stepgen.04.velocity-fb 9 s32 IN -1 hm2_7i76e.0.stepgen.timer-number 9 bit I/O FALSE hm2_7i76e.0.watchdog.has_bit 9 s32 OUT 0 hm2_7i76e.0.write.time halcmd: unloadrt hm2_eth hm2_eth: HostMot2 ethernet driver unloaded halcmd: loadrt hm2_eth board_ip="10.10.10.10" config="sserial_port_1=00020000" hm2_eth: loading Mesa AnyIO HostMot2 ethernet driver version 0.2 hm2_eth: 10.10.10.10: Hardware address: 00:60:1b:10:40:d6 hm2_eth: discovered 7I76E-16 hm2/hm2_7i76e.0: num_sserials references 2 instances, but only 1 are available, not loading driver hm2/hm2_7i76e.0: failed to parse Module Descriptor 5 board fails HM2 registration hm2_eth: rtapi_app_main: Invalid argument (-22) :5: waitpid failed /usr/bin/rtapi_app hm2_eth :5: /usr/bin/rtapi_app exited without becoming ready :5: insmod for hm2_eth failed, returned -1 halcmd: hm2: unloading Note: Using POSIX realtime linuxcnc@linuxcnc:~$