Loaded HAL Components: ID Type Name PID State 51 User halcmd2619 2619 ready 39 User axisui 1197 ready 37 User inihal 1194 ready 28 RT estop_latch ready 23 RT hm2_eth ready 20 RT hostmot2 ready 17 RT pid ready 14 RT __servo-thread ready 13 RT motmod ready 10 RT trivkins ready 4 User iocontrol 1146 ready Component Pins: Owner Type Dir Value Name 13 float OUT 0 axis.a.eoffset 13 bit IN FALSE axis.a.eoffset-clear 13 s32 IN 0 axis.a.eoffset-counts 13 bit IN FALSE axis.a.eoffset-enable 13 float OUT 0 axis.a.eoffset-request 13 float IN 0 axis.a.eoffset-scale 13 float IN 1 axis.a.jog-accel-fraction 13 s32 IN 0 axis.a.jog-counts 13 bit IN FALSE axis.a.jog-enable 13 float IN 0 axis.a.jog-scale 13 bit IN FALSE axis.a.jog-vel-mode 13 bit OUT FALSE axis.a.kb-jog-active 13 float OUT 0 axis.a.pos-cmd 13 float OUT 0 axis.a.teleop-pos-cmd 13 bit OUT FALSE axis.a.teleop-tp-enable 13 float OUT 0 axis.a.teleop-vel-cmd 13 float OUT 0 axis.a.teleop-vel-lim 13 bit OUT FALSE axis.a.wheel-jog-active 13 float OUT 0 axis.b.eoffset 13 bit IN FALSE axis.b.eoffset-clear 13 s32 IN 0 axis.b.eoffset-counts 13 bit IN FALSE axis.b.eoffset-enable 13 float OUT 0 axis.b.eoffset-request 13 float IN 0 axis.b.eoffset-scale 13 float IN 1 axis.b.jog-accel-fraction 13 s32 IN 0 axis.b.jog-counts 13 bit IN FALSE axis.b.jog-enable 13 float IN 0 axis.b.jog-scale 13 bit IN FALSE axis.b.jog-vel-mode 13 bit OUT FALSE axis.b.kb-jog-active 13 float OUT 0 axis.b.pos-cmd 13 float OUT 0 axis.b.teleop-pos-cmd 13 bit OUT FALSE axis.b.teleop-tp-enable 13 float OUT 0 axis.b.teleop-vel-cmd 13 float OUT 0 axis.b.teleop-vel-lim 13 bit OUT FALSE axis.b.wheel-jog-active 13 float OUT 0 axis.c.eoffset 13 bit IN FALSE axis.c.eoffset-clear 13 s32 IN 0 axis.c.eoffset-counts 13 bit IN FALSE axis.c.eoffset-enable 13 float OUT 0 axis.c.eoffset-request 13 float IN 0 axis.c.eoffset-scale 13 float IN 1 axis.c.jog-accel-fraction 13 s32 IN 0 axis.c.jog-counts 13 bit IN FALSE axis.c.jog-enable 13 float IN 0 axis.c.jog-scale 13 bit IN FALSE axis.c.jog-vel-mode 13 bit OUT FALSE axis.c.kb-jog-active 13 float OUT 0 axis.c.pos-cmd 13 float OUT 0 axis.c.teleop-pos-cmd 13 bit OUT FALSE axis.c.teleop-tp-enable 13 float OUT 0 axis.c.teleop-vel-cmd 13 float OUT 0 axis.c.teleop-vel-lim 13 bit OUT FALSE axis.c.wheel-jog-active 13 float OUT 0 axis.u.eoffset 13 bit IN FALSE axis.u.eoffset-clear 13 s32 IN 0 axis.u.eoffset-counts 13 bit IN FALSE axis.u.eoffset-enable 13 float OUT 0 axis.u.eoffset-request 13 float IN 0 axis.u.eoffset-scale 13 float IN 1 axis.u.jog-accel-fraction 13 s32 IN 0 axis.u.jog-counts 13 bit IN FALSE axis.u.jog-enable 13 float IN 0 axis.u.jog-scale 13 bit IN FALSE axis.u.jog-vel-mode 13 bit OUT FALSE axis.u.kb-jog-active 13 float OUT 0 axis.u.pos-cmd 13 float OUT 0 axis.u.teleop-pos-cmd 13 bit OUT FALSE axis.u.teleop-tp-enable 13 float OUT 0 axis.u.teleop-vel-cmd 13 float OUT 0 axis.u.teleop-vel-lim 13 bit OUT FALSE axis.u.wheel-jog-active 13 float OUT 0 axis.v.eoffset 13 bit IN FALSE axis.v.eoffset-clear 13 s32 IN 0 axis.v.eoffset-counts 13 bit IN FALSE axis.v.eoffset-enable 13 float OUT 0 axis.v.eoffset-request 13 float IN 0 axis.v.eoffset-scale 13 float IN 1 axis.v.jog-accel-fraction 13 s32 IN 0 axis.v.jog-counts 13 bit IN FALSE axis.v.jog-enable 13 float IN 0 axis.v.jog-scale 13 bit IN FALSE axis.v.jog-vel-mode 13 bit OUT FALSE axis.v.kb-jog-active 13 float OUT 0 axis.v.pos-cmd 13 float OUT 0 axis.v.teleop-pos-cmd 13 bit OUT FALSE axis.v.teleop-tp-enable 13 float OUT 0 axis.v.teleop-vel-cmd 13 float OUT 0 axis.v.teleop-vel-lim 13 bit OUT FALSE axis.v.wheel-jog-active 13 float OUT 0 axis.w.eoffset 13 bit IN FALSE axis.w.eoffset-clear 13 s32 IN 0 axis.w.eoffset-counts 13 bit IN FALSE axis.w.eoffset-enable 13 float OUT 0 axis.w.eoffset-request 13 float IN 0 axis.w.eoffset-scale 13 float IN 1 axis.w.jog-accel-fraction 13 s32 IN 0 axis.w.jog-counts 13 bit IN FALSE axis.w.jog-enable 13 float IN 0 axis.w.jog-scale 13 bit IN FALSE axis.w.jog-vel-mode 13 bit OUT FALSE axis.w.kb-jog-active 13 float OUT 0 axis.w.pos-cmd 13 float OUT 0 axis.w.teleop-pos-cmd 13 bit OUT FALSE axis.w.teleop-tp-enable 13 float OUT 0 axis.w.teleop-vel-cmd 13 float OUT 0 axis.w.teleop-vel-lim 13 bit OUT FALSE axis.w.wheel-jog-active 13 float OUT 0 axis.x.eoffset 13 bit IN FALSE axis.x.eoffset-clear 13 s32 IN 0 axis.x.eoffset-counts 13 bit IN FALSE axis.x.eoffset-enable 13 float OUT 0 axis.x.eoffset-request 13 float IN 0 axis.x.eoffset-scale 13 float IN 1 axis.x.jog-accel-fraction 13 s32 IN 0 axis.x.jog-counts 13 bit IN FALSE axis.x.jog-enable 13 float IN 0 axis.x.jog-scale 13 bit IN FALSE axis.x.jog-vel-mode 13 bit OUT FALSE axis.x.kb-jog-active 13 float OUT 0 axis.x.pos-cmd 13 float OUT 0 axis.x.teleop-pos-cmd 13 bit OUT FALSE axis.x.teleop-tp-enable 13 float OUT 0 axis.x.teleop-vel-cmd 13 float OUT 0 axis.x.teleop-vel-lim 13 bit OUT FALSE axis.x.wheel-jog-active 13 float OUT 0 axis.y.eoffset 13 bit IN FALSE axis.y.eoffset-clear 13 s32 IN 0 axis.y.eoffset-counts 13 bit IN FALSE axis.y.eoffset-enable 13 float OUT 0 axis.y.eoffset-request 13 float IN 0 axis.y.eoffset-scale 13 float IN 1 axis.y.jog-accel-fraction 13 s32 IN 0 axis.y.jog-counts 13 bit IN FALSE axis.y.jog-enable 13 float IN 0 axis.y.jog-scale 13 bit IN FALSE axis.y.jog-vel-mode 13 bit OUT FALSE axis.y.kb-jog-active 13 float OUT 0 axis.y.pos-cmd 13 float OUT 0 axis.y.teleop-pos-cmd 13 bit OUT FALSE axis.y.teleop-tp-enable 13 float OUT 0 axis.y.teleop-vel-cmd 13 float OUT 0 axis.y.teleop-vel-lim 13 bit OUT FALSE axis.y.wheel-jog-active 13 float OUT 0 axis.z.eoffset 13 bit IN FALSE axis.z.eoffset-clear 13 s32 IN 0 axis.z.eoffset-counts 13 bit IN FALSE axis.z.eoffset-enable 13 float OUT 0 axis.z.eoffset-request 13 float IN 0 axis.z.eoffset-scale 13 float IN 1 axis.z.jog-accel-fraction 13 s32 IN 0 axis.z.jog-counts 13 bit IN FALSE axis.z.jog-enable 13 float IN 0 axis.z.jog-scale 13 bit IN FALSE axis.z.jog-vel-mode 13 bit OUT FALSE axis.z.kb-jog-active 13 float OUT 0 axis.z.pos-cmd 13 float OUT 0 axis.z.teleop-pos-cmd 13 bit OUT FALSE axis.z.teleop-tp-enable 13 float OUT 0 axis.z.teleop-vel-cmd 13 float OUT 0 axis.z.teleop-vel-lim 13 bit OUT FALSE axis.z.wheel-jog-active 39 bit OUT FALSE axisui.abort 39 bit OUT FALSE axisui.error 39 bit OUT FALSE axisui.jog.a 39 bit OUT FALSE axisui.jog.b 39 bit OUT FALSE axisui.jog.c 39 float OUT 0 axisui.jog.increment 39 bit OUT FALSE axisui.jog.u 39 bit OUT FALSE axisui.jog.v 39 bit OUT FALSE axisui.jog.w 39 bit OUT TRUE axisui.jog.x 39 bit OUT FALSE axisui.jog.y 39 bit OUT FALSE axisui.jog.z 39 bit IN FALSE axisui.notifications-clear 39 bit IN FALSE axisui.notifications-clear-error 39 bit IN FALSE axisui.notifications-clear-info 39 bit IN FALSE axisui.resume-inhibit 28 bit IN FALSE estop-latch.0.fault-in <== remote-estop 28 bit OUT TRUE estop-latch.0.fault-out 28 bit IN FALSE estop-latch.0.ok-in <== estop-loopin 28 bit OUT FALSE estop-latch.0.ok-out ==> estop-loopout 28 bit IN FALSE estop-latch.0.reset <== estop-reset 28 s32 OUT 1638 estop-latch.0.time 28 bit OUT FALSE estop-latch.0.watchdog 23 float IN -100 hm2_7i95.0.dpll.01.timer-us 23 float IN 100 hm2_7i95.0.dpll.02.timer-us 23 float IN 100 hm2_7i95.0.dpll.03.timer-us 23 float IN 100 hm2_7i95.0.dpll.04.timer-us 23 float IN 1 hm2_7i95.0.dpll.base-freq-khz 23 u32 OUT 0x0000002A hm2_7i95.0.dpll.ddsize 23 float OUT 10.24448 hm2_7i95.0.dpll.phase-error-us 23 u32 IN 0x00400000 hm2_7i95.0.dpll.plimit 23 u32 OUT 0x00000018 hm2_7i95.0.dpll.prescale 23 u32 IN 0x000007D0 hm2_7i95.0.dpll.time-const 23 s32 OUT 0 hm2_7i95.0.encoder.00.count 23 s32 OUT 0 hm2_7i95.0.encoder.00.count-latched 23 bit I/O FALSE hm2_7i95.0.encoder.00.index-enable 23 bit OUT TRUE hm2_7i95.0.encoder.00.input-a 23 bit OUT FALSE hm2_7i95.0.encoder.00.input-b 23 bit OUT TRUE hm2_7i95.0.encoder.00.input-index 23 bit IN FALSE hm2_7i95.0.encoder.00.latch-enable 23 bit IN FALSE hm2_7i95.0.encoder.00.latch-polarity 23 float OUT 0 hm2_7i95.0.encoder.00.position 23 float OUT 0 hm2_7i95.0.encoder.00.position-latched 23 bit OUT FALSE hm2_7i95.0.encoder.00.quad-error 23 bit IN FALSE hm2_7i95.0.encoder.00.quad-error-enable 23 s32 OUT 1 hm2_7i95.0.encoder.00.rawcounts 23 s32 OUT 1 hm2_7i95.0.encoder.00.rawlatch 23 bit IN FALSE hm2_7i95.0.encoder.00.reset 23 float OUT 0 hm2_7i95.0.encoder.00.velocity 23 float OUT 0 hm2_7i95.0.encoder.00.velocity-rpm 23 s32 OUT 0 hm2_7i95.0.encoder.01.count 23 s32 OUT 0 hm2_7i95.0.encoder.01.count-latched 23 bit I/O FALSE hm2_7i95.0.encoder.01.index-enable 23 bit OUT FALSE hm2_7i95.0.encoder.01.input-a 23 bit OUT FALSE hm2_7i95.0.encoder.01.input-b 23 bit OUT FALSE hm2_7i95.0.encoder.01.input-index 23 bit IN FALSE hm2_7i95.0.encoder.01.latch-enable 23 bit IN FALSE hm2_7i95.0.encoder.01.latch-polarity 23 float OUT 0 hm2_7i95.0.encoder.01.position 23 float OUT 0 hm2_7i95.0.encoder.01.position-latched 23 bit OUT FALSE hm2_7i95.0.encoder.01.quad-error 23 bit IN FALSE hm2_7i95.0.encoder.01.quad-error-enable 23 s32 OUT 0 hm2_7i95.0.encoder.01.rawcounts 23 s32 OUT 0 hm2_7i95.0.encoder.01.rawlatch 23 bit IN FALSE hm2_7i95.0.encoder.01.reset 23 float OUT 0 hm2_7i95.0.encoder.01.velocity 23 float OUT 0 hm2_7i95.0.encoder.01.velocity-rpm 23 s32 OUT 0 hm2_7i95.0.encoder.02.count 23 s32 OUT 0 hm2_7i95.0.encoder.02.count-latched 23 bit I/O FALSE hm2_7i95.0.encoder.02.index-enable 23 bit OUT FALSE hm2_7i95.0.encoder.02.input-a 23 bit OUT TRUE hm2_7i95.0.encoder.02.input-b 23 bit OUT TRUE hm2_7i95.0.encoder.02.input-index 23 bit IN FALSE hm2_7i95.0.encoder.02.latch-enable 23 bit IN FALSE hm2_7i95.0.encoder.02.latch-polarity 23 float OUT 0 hm2_7i95.0.encoder.02.position 23 float OUT 0 hm2_7i95.0.encoder.02.position-latched 23 bit OUT FALSE hm2_7i95.0.encoder.02.quad-error 23 bit IN FALSE hm2_7i95.0.encoder.02.quad-error-enable 23 s32 OUT 1 hm2_7i95.0.encoder.02.rawcounts 23 s32 OUT 1 hm2_7i95.0.encoder.02.rawlatch 23 bit IN FALSE hm2_7i95.0.encoder.02.reset 23 float OUT 0 hm2_7i95.0.encoder.02.velocity 23 float OUT 0 hm2_7i95.0.encoder.02.velocity-rpm 23 s32 OUT 0 hm2_7i95.0.encoder.03.count 23 s32 OUT 0 hm2_7i95.0.encoder.03.count-latched 23 bit I/O FALSE hm2_7i95.0.encoder.03.index-enable 23 bit OUT TRUE hm2_7i95.0.encoder.03.input-a 23 bit OUT TRUE hm2_7i95.0.encoder.03.input-b 23 bit OUT TRUE hm2_7i95.0.encoder.03.input-index 23 bit IN FALSE hm2_7i95.0.encoder.03.latch-enable 23 bit IN FALSE hm2_7i95.0.encoder.03.latch-polarity 23 float OUT 0 hm2_7i95.0.encoder.03.position 23 float OUT 0 hm2_7i95.0.encoder.03.position-latched 23 bit OUT FALSE hm2_7i95.0.encoder.03.quad-error 23 bit IN FALSE hm2_7i95.0.encoder.03.quad-error-enable 23 s32 OUT 0 hm2_7i95.0.encoder.03.rawcounts 23 s32 OUT 0 hm2_7i95.0.encoder.03.rawlatch 23 bit IN FALSE hm2_7i95.0.encoder.03.reset 23 float OUT 0 hm2_7i95.0.encoder.03.velocity 23 float OUT 0 hm2_7i95.0.encoder.03.velocity-rpm 23 s32 OUT 0 hm2_7i95.0.encoder.04.count 23 s32 OUT 0 hm2_7i95.0.encoder.04.count-latched 23 bit I/O FALSE hm2_7i95.0.encoder.04.index-enable 23 bit OUT FALSE hm2_7i95.0.encoder.04.input-a 23 bit OUT FALSE hm2_7i95.0.encoder.04.input-b 23 bit OUT TRUE hm2_7i95.0.encoder.04.input-index 23 bit IN FALSE hm2_7i95.0.encoder.04.latch-enable 23 bit IN FALSE hm2_7i95.0.encoder.04.latch-polarity 23 float OUT 0 hm2_7i95.0.encoder.04.position 23 float OUT 0 hm2_7i95.0.encoder.04.position-latched 23 bit OUT FALSE hm2_7i95.0.encoder.04.quad-error 23 bit IN FALSE hm2_7i95.0.encoder.04.quad-error-enable 23 s32 OUT 0 hm2_7i95.0.encoder.04.rawcounts 23 s32 OUT 0 hm2_7i95.0.encoder.04.rawlatch 23 bit IN FALSE hm2_7i95.0.encoder.04.reset 23 float OUT 0 hm2_7i95.0.encoder.04.velocity 23 float OUT 0 hm2_7i95.0.encoder.04.velocity-rpm 23 s32 OUT 0 hm2_7i95.0.encoder.05.count 23 s32 OUT 0 hm2_7i95.0.encoder.05.count-latched 23 bit I/O FALSE hm2_7i95.0.encoder.05.index-enable 23 bit OUT FALSE hm2_7i95.0.encoder.05.input-a 23 bit OUT FALSE hm2_7i95.0.encoder.05.input-b 23 bit OUT TRUE hm2_7i95.0.encoder.05.input-index 23 bit IN FALSE hm2_7i95.0.encoder.05.latch-enable 23 bit IN FALSE hm2_7i95.0.encoder.05.latch-polarity 23 float OUT 0 hm2_7i95.0.encoder.05.position 23 float OUT 0 hm2_7i95.0.encoder.05.position-latched 23 bit OUT FALSE hm2_7i95.0.encoder.05.quad-error 23 bit IN FALSE hm2_7i95.0.encoder.05.quad-error-enable 23 s32 OUT 0 hm2_7i95.0.encoder.05.rawcounts 23 s32 OUT 0 hm2_7i95.0.encoder.05.rawlatch 23 bit IN FALSE hm2_7i95.0.encoder.05.reset 23 float OUT 0 hm2_7i95.0.encoder.05.velocity 23 float OUT 0 hm2_7i95.0.encoder.05.velocity-rpm 23 bit IN FALSE hm2_7i95.0.encoder.hires-timestamp 23 u32 IN 0x007F2815 hm2_7i95.0.encoder.muxed-sample-frequency 23 s32 IN -1 hm2_7i95.0.encoder.timer-number 23 bit OUT FALSE hm2_7i95.0.gpio.000.in 23 bit OUT TRUE hm2_7i95.0.gpio.000.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.001.in 23 bit OUT TRUE hm2_7i95.0.gpio.001.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.002.in 23 bit OUT TRUE hm2_7i95.0.gpio.002.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.003.in 23 bit OUT TRUE hm2_7i95.0.gpio.003.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.004.in 23 bit OUT TRUE hm2_7i95.0.gpio.004.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.005.in 23 bit OUT TRUE hm2_7i95.0.gpio.005.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.006.in 23 bit OUT TRUE hm2_7i95.0.gpio.006.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.007.in 23 bit OUT TRUE hm2_7i95.0.gpio.007.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.008.in 23 bit OUT TRUE hm2_7i95.0.gpio.008.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.009.in 23 bit OUT TRUE hm2_7i95.0.gpio.009.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.010.in 23 bit OUT TRUE hm2_7i95.0.gpio.010.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.011.in 23 bit OUT TRUE hm2_7i95.0.gpio.011.in_not 23 bit OUT TRUE hm2_7i95.0.gpio.012.in 23 bit OUT FALSE hm2_7i95.0.gpio.012.in_not 23 bit IN FALSE hm2_7i95.0.gpio.012.out 23 bit OUT TRUE hm2_7i95.0.gpio.013.in 23 bit OUT FALSE hm2_7i95.0.gpio.013.in_not 23 bit IN FALSE hm2_7i95.0.gpio.013.out 23 bit OUT TRUE hm2_7i95.0.gpio.014.in 23 bit OUT FALSE hm2_7i95.0.gpio.014.in_not 23 bit IN FALSE hm2_7i95.0.gpio.014.out 23 bit OUT FALSE hm2_7i95.0.gpio.015.in 23 bit OUT TRUE hm2_7i95.0.gpio.015.in_not 23 bit IN FALSE hm2_7i95.0.gpio.015.out 23 bit OUT TRUE hm2_7i95.0.gpio.016.in 23 bit OUT FALSE hm2_7i95.0.gpio.016.in_not 23 bit IN FALSE hm2_7i95.0.gpio.016.out 23 bit OUT TRUE hm2_7i95.0.gpio.017.in 23 bit OUT FALSE hm2_7i95.0.gpio.017.in_not 23 bit IN FALSE hm2_7i95.0.gpio.017.out 23 bit OUT FALSE hm2_7i95.0.gpio.018.in 23 bit OUT TRUE hm2_7i95.0.gpio.018.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.019.in 23 bit OUT TRUE hm2_7i95.0.gpio.019.in_not 23 bit OUT TRUE hm2_7i95.0.gpio.020.in 23 bit OUT FALSE hm2_7i95.0.gpio.020.in_not 23 bit OUT TRUE hm2_7i95.0.gpio.021.in 23 bit OUT FALSE hm2_7i95.0.gpio.021.in_not 23 bit OUT TRUE hm2_7i95.0.gpio.022.in 23 bit OUT FALSE hm2_7i95.0.gpio.022.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.023.in 23 bit OUT TRUE hm2_7i95.0.gpio.023.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.024.in 23 bit OUT TRUE hm2_7i95.0.gpio.024.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.025.in 23 bit OUT TRUE hm2_7i95.0.gpio.025.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.026.in 23 bit OUT TRUE hm2_7i95.0.gpio.026.in_not 23 bit OUT TRUE hm2_7i95.0.gpio.027.in 23 bit OUT FALSE hm2_7i95.0.gpio.027.in_not 23 bit OUT TRUE hm2_7i95.0.gpio.028.in 23 bit OUT FALSE hm2_7i95.0.gpio.028.in_not 23 bit OUT TRUE hm2_7i95.0.gpio.029.in 23 bit OUT FALSE hm2_7i95.0.gpio.029.in_not 23 bit OUT TRUE hm2_7i95.0.gpio.030.in 23 bit OUT FALSE hm2_7i95.0.gpio.030.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.031.in 23 bit OUT TRUE hm2_7i95.0.gpio.031.in_not 23 bit OUT TRUE hm2_7i95.0.gpio.032.in 23 bit OUT FALSE hm2_7i95.0.gpio.032.in_not 23 bit OUT TRUE hm2_7i95.0.gpio.033.in 23 bit OUT FALSE hm2_7i95.0.gpio.033.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.034.in 23 bit OUT TRUE hm2_7i95.0.gpio.034.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.035.in 23 bit OUT TRUE hm2_7i95.0.gpio.035.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.036.in 23 bit OUT TRUE hm2_7i95.0.gpio.036.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.037.in 23 bit OUT TRUE hm2_7i95.0.gpio.037.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.038.in 23 bit OUT TRUE hm2_7i95.0.gpio.038.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.039.in 23 bit OUT TRUE hm2_7i95.0.gpio.039.in_not 23 bit OUT FALSE hm2_7i95.0.gpio.040.in 23 bit OUT TRUE hm2_7i95.0.gpio.040.in_not 23 bit OUT TRUE hm2_7i95.0.gpio.041.in 23 bit OUT FALSE hm2_7i95.0.gpio.041.in_not 23 bit IN FALSE hm2_7i95.0.gpio.041.out 23 bit OUT TRUE hm2_7i95.0.gpio.042.in 23 bit OUT FALSE hm2_7i95.0.gpio.042.in_not 23 bit IN FALSE hm2_7i95.0.gpio.042.out 23 bit OUT TRUE hm2_7i95.0.gpio.043.in 23 bit OUT FALSE hm2_7i95.0.gpio.043.in_not 23 bit IN FALSE hm2_7i95.0.gpio.043.out 23 bit OUT TRUE hm2_7i95.0.gpio.044.in 23 bit OUT FALSE hm2_7i95.0.gpio.044.in_not 23 bit IN FALSE hm2_7i95.0.gpio.044.out 23 bit OUT TRUE hm2_7i95.0.gpio.045.in 23 bit OUT FALSE hm2_7i95.0.gpio.045.in_not 23 bit IN FALSE hm2_7i95.0.gpio.045.out 23 bit OUT TRUE hm2_7i95.0.gpio.046.in 23 bit OUT FALSE hm2_7i95.0.gpio.046.in_not 23 bit IN FALSE hm2_7i95.0.gpio.046.out 23 bit OUT TRUE hm2_7i95.0.gpio.047.in 23 bit OUT FALSE hm2_7i95.0.gpio.047.in_not 23 bit IN FALSE hm2_7i95.0.gpio.047.out 23 bit OUT TRUE hm2_7i95.0.gpio.048.in 23 bit OUT FALSE hm2_7i95.0.gpio.048.in_not 23 bit IN FALSE hm2_7i95.0.gpio.048.out 23 bit OUT TRUE hm2_7i95.0.gpio.049.in 23 bit OUT FALSE hm2_7i95.0.gpio.049.in_not 23 bit IN FALSE hm2_7i95.0.gpio.049.out 23 bit OUT TRUE hm2_7i95.0.gpio.050.in 23 bit OUT FALSE hm2_7i95.0.gpio.050.in_not 23 bit IN FALSE hm2_7i95.0.gpio.050.out 23 bit OUT TRUE hm2_7i95.0.gpio.051.in 23 bit OUT FALSE hm2_7i95.0.gpio.051.in_not 23 bit IN FALSE hm2_7i95.0.gpio.051.out 23 bit OUT TRUE hm2_7i95.0.gpio.052.in 23 bit OUT FALSE hm2_7i95.0.gpio.052.in_not 23 bit IN FALSE hm2_7i95.0.gpio.052.out 23 bit OUT TRUE hm2_7i95.0.gpio.053.in 23 bit OUT FALSE hm2_7i95.0.gpio.053.in_not 23 bit IN FALSE hm2_7i95.0.gpio.053.out 23 bit OUT TRUE hm2_7i95.0.gpio.054.in 23 bit OUT FALSE hm2_7i95.0.gpio.054.in_not 23 bit IN FALSE hm2_7i95.0.gpio.054.out 23 bit OUT TRUE hm2_7i95.0.gpio.055.in 23 bit OUT FALSE hm2_7i95.0.gpio.055.in_not 23 bit IN FALSE hm2_7i95.0.gpio.055.out 23 bit OUT TRUE hm2_7i95.0.gpio.056.in 23 bit OUT FALSE hm2_7i95.0.gpio.056.in_not 23 bit IN FALSE hm2_7i95.0.gpio.056.out 23 bit OUT TRUE hm2_7i95.0.gpio.057.in 23 bit OUT FALSE hm2_7i95.0.gpio.057.in_not 23 bit IN FALSE hm2_7i95.0.gpio.057.out 23 s32 OUT 1 hm2_7i95.0.inmux.00.enc0-count 23 s32 OUT 1 hm2_7i95.0.inmux.00.enc1-count 23 s32 OUT 0 hm2_7i95.0.inmux.00.enc2-count 23 s32 OUT 0 hm2_7i95.0.inmux.00.enc3-count 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-00 ==> joint-1-home 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-00-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-00-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-01 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-01-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-01-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-02 ==> GUI_LED_Z4 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-02-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-02-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-03 ==> joint-2-home 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-03-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-03-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-04 ==> GUI_LED_Z2 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-04-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-04-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-05 ==> GUI_LED_Z1 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-05-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-05-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-06 ==> GUI_LED_X2 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-06-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-06-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-07 ==> joint-0-home 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-07-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-07-slow 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-08 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-08-not ==> pos-limit-joint-2 23 bit IN FALSE hm2_7i95.0.inmux.00.input-08-slow 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-09 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-09-not ==> neg-limit-joint-2 23 bit IN FALSE hm2_7i95.0.inmux.00.input-09-slow 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-10 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-10-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-10-slow 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-11 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-11-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-11-slow 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-12 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-12-not ==> pos-limit-joint-0 23 bit IN FALSE hm2_7i95.0.inmux.00.input-12-slow 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-13 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-13-not ==> neg-limit-joint-0 23 bit IN FALSE hm2_7i95.0.inmux.00.input-13-slow 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-14 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-14-not ==> remote-estop 23 bit IN FALSE hm2_7i95.0.inmux.00.input-14-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-15 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-15-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-15-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-16 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-16-not ==> joint-3-home 23 bit IN FALSE hm2_7i95.0.inmux.00.input-16-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-17 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-17-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-17-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-18 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-18-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-18-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-19 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-19-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-19-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-20 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-20-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-20-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-21 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-21-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-21-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-22 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-22-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-22-slow 23 bit OUT FALSE hm2_7i95.0.inmux.00.input-23 23 bit OUT TRUE hm2_7i95.0.inmux.00.input-23-not 23 bit IN FALSE hm2_7i95.0.inmux.00.input-23-slow 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-00 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-00-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-01 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-01-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-02 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-02-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-03 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-03-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-04 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-04-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-05 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-05-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-06 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-06-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-07 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-07-not 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-08 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-08-not 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-09 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-09-not 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-10 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-10-not 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-11 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-11-not 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-12 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-12-not 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-13 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-13-not 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-14 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-14-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-15 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-15-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-16 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-16-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-17 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-17-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-18 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-18-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-19 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-19-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-20 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-20-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-21 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-21-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-22 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-22-not 23 bit OUT FALSE hm2_7i95.0.inmux.00.raw-input-23 23 bit OUT TRUE hm2_7i95.0.inmux.00.raw-input-23-not 23 bit IN FALSE hm2_7i95.0.led.CR01 23 bit IN FALSE hm2_7i95.0.led.CR02 23 bit IN FALSE hm2_7i95.0.led.CR03 23 bit IN FALSE hm2_7i95.0.led.CR04 23 bit OUT FALSE hm2_7i95.0.packet-error 23 bit OUT FALSE hm2_7i95.0.packet-error-exceeded 23 s32 OUT 0 hm2_7i95.0.packet-error-level 23 s32 OUT 0 hm2_7i95.0.read-request.time 23 s32 OUT 346520 hm2_7i95.0.read.time 23 bit IN FALSE hm2_7i95.0.ssr.00.out-00 23 bit IN FALSE hm2_7i95.0.ssr.00.out-01 23 bit IN FALSE hm2_7i95.0.ssr.00.out-02 23 bit IN FALSE hm2_7i95.0.ssr.00.out-03 23 bit IN FALSE hm2_7i95.0.ssr.00.out-04 23 bit IN FALSE hm2_7i95.0.ssr.00.out-05 23 u32 IN 0x000F4240 hm2_7i95.0.ssr.00.rate 23 bit IN TRUE hm2_7i95.0.stepgen.00.control-type 23 s32 OUT 0 hm2_7i95.0.stepgen.00.counts 23 float OUT 0 hm2_7i95.0.stepgen.00.dbg_err_at_match 23 float OUT 0 hm2_7i95.0.stepgen.00.dbg_ff_vel 23 float OUT 0 hm2_7i95.0.stepgen.00.dbg_pos_minus_prev_cmd 23 float OUT 0 hm2_7i95.0.stepgen.00.dbg_s_to_match 23 s32 OUT 0 hm2_7i95.0.stepgen.00.dbg_step_rate 23 float OUT 0 hm2_7i95.0.stepgen.00.dbg_vel_error 23 bit IN FALSE hm2_7i95.0.stepgen.00.enable <== joint-0-enable 23 float IN 0 hm2_7i95.0.stepgen.00.position-cmd 23 float OUT 0 hm2_7i95.0.stepgen.00.position-fb ==> joint-0-pos-fb 23 float IN 0 hm2_7i95.0.stepgen.00.velocity-cmd <== joint.0.output 23 float OUT 0 hm2_7i95.0.stepgen.00.velocity-fb 23 bit IN TRUE hm2_7i95.0.stepgen.01.control-type 23 s32 OUT 0 hm2_7i95.0.stepgen.01.counts 23 float OUT 0 hm2_7i95.0.stepgen.01.dbg_err_at_match 23 float OUT 0 hm2_7i95.0.stepgen.01.dbg_ff_vel 23 float OUT 0 hm2_7i95.0.stepgen.01.dbg_pos_minus_prev_cmd 23 float OUT 0 hm2_7i95.0.stepgen.01.dbg_s_to_match 23 s32 OUT 0 hm2_7i95.0.stepgen.01.dbg_step_rate 23 float OUT 0 hm2_7i95.0.stepgen.01.dbg_vel_error 23 bit IN FALSE hm2_7i95.0.stepgen.01.enable <== joint-1-enable 23 float IN 0 hm2_7i95.0.stepgen.01.position-cmd 23 float OUT 0 hm2_7i95.0.stepgen.01.position-fb ==> joint-1-pos-fb 23 float IN 0 hm2_7i95.0.stepgen.01.velocity-cmd <== joint.1.output 23 float OUT 0 hm2_7i95.0.stepgen.01.velocity-fb 23 bit IN TRUE hm2_7i95.0.stepgen.02.control-type 23 s32 OUT 0 hm2_7i95.0.stepgen.02.counts 23 float OUT 0 hm2_7i95.0.stepgen.02.dbg_err_at_match 23 float OUT 0 hm2_7i95.0.stepgen.02.dbg_ff_vel 23 float OUT 0 hm2_7i95.0.stepgen.02.dbg_pos_minus_prev_cmd 23 float OUT 0 hm2_7i95.0.stepgen.02.dbg_s_to_match 23 s32 OUT 0 hm2_7i95.0.stepgen.02.dbg_step_rate 23 float OUT 0 hm2_7i95.0.stepgen.02.dbg_vel_error 23 bit IN FALSE hm2_7i95.0.stepgen.02.enable <== joint-2-enable 23 float IN 0 hm2_7i95.0.stepgen.02.position-cmd 23 float OUT 0 hm2_7i95.0.stepgen.02.position-fb ==> joint-2-pos-fb 23 float IN 0 hm2_7i95.0.stepgen.02.velocity-cmd <== joint.2.output 23 float OUT 0 hm2_7i95.0.stepgen.02.velocity-fb 23 bit IN TRUE hm2_7i95.0.stepgen.03.control-type 23 s32 OUT 0 hm2_7i95.0.stepgen.03.counts 23 float OUT 0 hm2_7i95.0.stepgen.03.dbg_err_at_match 23 float OUT 0 hm2_7i95.0.stepgen.03.dbg_ff_vel 23 float OUT 0 hm2_7i95.0.stepgen.03.dbg_pos_minus_prev_cmd 23 float OUT 0 hm2_7i95.0.stepgen.03.dbg_s_to_match 23 s32 OUT 0 hm2_7i95.0.stepgen.03.dbg_step_rate 23 float OUT 0 hm2_7i95.0.stepgen.03.dbg_vel_error 23 bit IN FALSE hm2_7i95.0.stepgen.03.enable <== joint-3-enable 23 float IN 0 hm2_7i95.0.stepgen.03.position-cmd 23 float OUT 0 hm2_7i95.0.stepgen.03.position-fb ==> joint-3-pos-fb 23 float IN 0 hm2_7i95.0.stepgen.03.velocity-cmd <== joint.3.output 23 float OUT 0 hm2_7i95.0.stepgen.03.velocity-fb 23 bit IN FALSE hm2_7i95.0.stepgen.04.control-type 23 s32 OUT 0 hm2_7i95.0.stepgen.04.counts 23 float OUT 0 hm2_7i95.0.stepgen.04.dbg_err_at_match 23 float OUT 0 hm2_7i95.0.stepgen.04.dbg_ff_vel 23 float OUT 0 hm2_7i95.0.stepgen.04.dbg_pos_minus_prev_cmd 23 float OUT 0 hm2_7i95.0.stepgen.04.dbg_s_to_match 23 s32 OUT 0 hm2_7i95.0.stepgen.04.dbg_step_rate 23 float OUT 0 hm2_7i95.0.stepgen.04.dbg_vel_error 23 bit IN FALSE hm2_7i95.0.stepgen.04.enable 23 float IN 0 hm2_7i95.0.stepgen.04.position-cmd 23 float OUT 0 hm2_7i95.0.stepgen.04.position-fb 23 float IN 0 hm2_7i95.0.stepgen.04.velocity-cmd 23 float OUT 0 hm2_7i95.0.stepgen.04.velocity-fb 23 bit IN FALSE hm2_7i95.0.stepgen.05.control-type 23 s32 OUT 0 hm2_7i95.0.stepgen.05.counts 23 float OUT 0 hm2_7i95.0.stepgen.05.dbg_err_at_match 23 float OUT 0 hm2_7i95.0.stepgen.05.dbg_ff_vel 23 float OUT 0 hm2_7i95.0.stepgen.05.dbg_pos_minus_prev_cmd 23 float OUT 0 hm2_7i95.0.stepgen.05.dbg_s_to_match 23 s32 OUT 0 hm2_7i95.0.stepgen.05.dbg_step_rate 23 float OUT 0 hm2_7i95.0.stepgen.05.dbg_vel_error 23 bit IN FALSE hm2_7i95.0.stepgen.05.enable 23 float IN 0 hm2_7i95.0.stepgen.05.position-cmd 23 float OUT 0 hm2_7i95.0.stepgen.05.position-fb 23 float IN 0 hm2_7i95.0.stepgen.05.velocity-cmd 23 float OUT 0 hm2_7i95.0.stepgen.05.velocity-fb 23 s32 IN 1 hm2_7i95.0.stepgen.timer-number 23 bit I/O FALSE hm2_7i95.0.watchdog.has_bit 23 s32 OUT 41842 hm2_7i95.0.write.time 37 float IN 0 ini.0.backlash 37 float IN 0.0051 ini.0.ferror 37 float IN 0 ini.0.home 37 float IN -10 ini.0.home_offset 37 s32 IN 0 ini.0.home_sequence 37 float IN 120 ini.0.max_acceleration 37 float IN 770 ini.0.max_limit 37 float IN 25 ini.0.max_velocity 37 float IN 0.0025 ini.0.min_ferror 37 float IN -1 ini.0.min_limit 37 float IN 0 ini.1.backlash 37 float IN 0.0051 ini.1.ferror 37 float IN 0 ini.1.home 37 float IN 0 ini.1.home_offset 37 s32 IN 0 ini.1.home_sequence 37 float IN 600 ini.1.max_acceleration 37 float IN 1e+99 ini.1.max_limit 37 float IN 60 ini.1.max_velocity 37 float IN 0.0025 ini.1.min_ferror 37 float IN -1e+99 ini.1.min_limit 37 float IN 0 ini.2.backlash 37 float IN 0.0051 ini.2.ferror 37 float IN 0 ini.2.home 37 float IN -10 ini.2.home_offset 37 s32 IN 0 ini.2.home_sequence 37 float IN 100 ini.2.max_acceleration 37 float IN 296 ini.2.max_limit 37 float IN 10 ini.2.max_velocity 37 float IN 0.0025 ini.2.min_ferror 37 float IN -1 ini.2.min_limit 37 float IN 0 ini.3.backlash 37 float IN 0.0051 ini.3.ferror 37 float IN 0 ini.3.home 37 float IN 0 ini.3.home_offset 37 s32 IN 0 ini.3.home_sequence 37 float IN 100 ini.3.max_acceleration 37 float IN 1e+99 ini.3.max_limit 37 float IN 30 ini.3.max_velocity 37 float IN 0.0025 ini.3.min_ferror 37 float IN -1e+99 ini.3.min_limit 37 float IN 0 ini.a.max_acceleration 37 float IN 0 ini.a.max_limit 37 float IN 0 ini.a.max_velocity 37 float IN 0 ini.a.min_limit 37 float IN 0 ini.b.max_acceleration 37 float IN 0 ini.b.max_limit 37 float IN 0 ini.b.max_velocity 37 float IN 0 ini.b.min_limit 37 float IN 100 ini.c.max_acceleration 37 float IN 1e+99 ini.c.max_limit 37 float IN 30 ini.c.max_velocity 37 float IN -1e+99 ini.c.min_limit 37 bit IN TRUE ini.traj_arc_blend_enable 37 bit IN FALSE ini.traj_arc_blend_fallback_enable 37 float IN 4 ini.traj_arc_blend_gap_cycles 37 s32 IN 50 ini.traj_arc_blend_optimization_depth 37 float IN 100 ini.traj_arc_blend_ramp_freq 37 float IN 0.1 ini.traj_arc_blend_tangent_kink_ratio 37 float IN 1e+99 ini.traj_default_acceleration 37 float IN 1 ini.traj_default_velocity 37 float IN 1e+99 ini.traj_max_acceleration 37 float IN 100 ini.traj_max_velocity 37 float IN 0 ini.u.max_acceleration 37 float IN 0 ini.u.max_limit 37 float IN 0 ini.u.max_velocity 37 float IN 0 ini.u.min_limit 37 float IN 0 ini.v.max_acceleration 37 float IN 0 ini.v.max_limit 37 float IN 0 ini.v.max_velocity 37 float IN 0 ini.v.min_limit 37 float IN 0 ini.w.max_acceleration 37 float IN 0 ini.w.max_limit 37 float IN 0 ini.w.max_velocity 37 float IN 0 ini.w.min_limit 37 float IN 120 ini.x.max_acceleration 37 float IN 770 ini.x.max_limit 37 float IN 25 ini.x.max_velocity 37 float IN -1 ini.x.min_limit 37 float IN 600 ini.y.max_acceleration 37 float IN 1e+99 ini.y.max_limit 37 float IN 60 ini.y.max_velocity 37 float IN -1e+99 ini.y.min_limit 37 float IN 100 ini.z.max_acceleration 37 float IN 296 ini.z.max_limit 37 float IN 10 ini.z.max_velocity 37 float IN -1 ini.z.min_limit 4 bit OUT FALSE iocontrol.0.coolant-flood 4 bit OUT FALSE iocontrol.0.coolant-mist 4 bit OUT TRUE iocontrol.0.emc-abort 4 bit IN FALSE iocontrol.0.emc-abort-ack 4 bit IN FALSE iocontrol.0.emc-enable-in <== estop-loopout 4 s32 OUT 6 iocontrol.0.emc-reason 4 bit OUT FALSE iocontrol.0.lube 4 bit IN FALSE iocontrol.0.lube_level 4 bit OUT FALSE iocontrol.0.start-change 4 bit IN FALSE iocontrol.0.start-change-ack 4 s32 OUT 4 iocontrol.0.state 4 bit OUT FALSE iocontrol.0.tool-change 4 bit IN FALSE iocontrol.0.tool-changed 4 s32 OUT 0 iocontrol.0.tool-number 4 s32 OUT 0 iocontrol.0.tool-prep-number 4 s32 OUT 0 iocontrol.0.tool-prep-pocket 4 bit OUT FALSE iocontrol.0.tool-prepare 4 bit IN FALSE iocontrol.0.tool-prepared 4 bit IN FALSE iocontrol.0.toolchanger-clear-fault 4 bit IN FALSE iocontrol.0.toolchanger-fault 4 bit OUT FALSE iocontrol.0.toolchanger-fault-ack 4 bit OUT FALSE iocontrol.0.toolchanger-faulted 4 s32 IN 0 iocontrol.0.toolchanger-reason 4 bit OUT FALSE iocontrol.0.user-enable-out ==> estop-loopin 4 bit OUT FALSE iocontrol.0.user-request-enable ==> estop-reset 13 float OUT 0 joint.0.acc-cmd 13 bit OUT TRUE joint.0.active 13 bit OUT FALSE joint.0.amp-enable-out ==> joint-0-enable 13 bit IN FALSE joint.0.amp-fault-in 13 float OUT 0 joint.0.backlash-corr 13 float OUT 0 joint.0.backlash-filt 13 float OUT 0 joint.0.backlash-vel 13 float OUT 0 joint.0.coarse-pos-cmd 13 bit OUT FALSE joint.0.error 13 float OUT 0 joint.0.f-error 13 float OUT 0.0025 joint.0.f-error-lim 13 bit OUT FALSE joint.0.f-errored 13 bit OUT FALSE joint.0.faulted 13 float OUT 0 joint.0.free-pos-cmd 13 bit OUT FALSE joint.0.free-tp-enable 13 float OUT 0 joint.0.free-vel-lim 13 s32 OUT 0 joint.0.home-state 13 bit IN FALSE joint.0.home-sw-in <== joint-0-home 13 bit OUT FALSE joint.0.homed 13 bit OUT FALSE joint.0.homing 13 bit OUT TRUE joint.0.in-position 13 bit I/O FALSE joint.0.index-enable 13 float IN 1 joint.0.jog-accel-fraction 13 s32 IN 0 joint.0.jog-counts 13 bit IN FALSE joint.0.jog-enable 13 float IN 0 joint.0.jog-scale 13 bit IN FALSE joint.0.jog-vel-mode 13 bit OUT FALSE joint.0.kb-jog-active 13 float OUT 0 joint.0.motor-offset 13 float OUT 0 joint.0.motor-pos-cmd ==> joint-0-pos-cmd 13 float IN 0 joint.0.motor-pos-fb <== joint-0-pos-fb 13 bit OUT FALSE joint.0.neg-hard-limit 13 bit IN FALSE joint.0.neg-lim-sw-in <== neg-limit-joint-0 13 float OUT 0 joint.0.pos-cmd 13 float OUT 0 joint.0.pos-fb 13 bit OUT FALSE joint.0.pos-hard-limit 13 bit IN FALSE joint.0.pos-lim-sw-in <== pos-limit-joint-0 13 float OUT 0 joint.0.vel-cmd 13 bit OUT FALSE joint.0.wheel-jog-active 13 float OUT 0 joint.1.acc-cmd 13 bit OUT TRUE joint.1.active 13 bit OUT FALSE joint.1.amp-enable-out ==> joint-1-enable 13 bit IN FALSE joint.1.amp-fault-in 13 float OUT 0 joint.1.backlash-corr 13 float OUT 0 joint.1.backlash-filt 13 float OUT 0 joint.1.backlash-vel 13 float OUT 0 joint.1.coarse-pos-cmd 13 bit OUT FALSE joint.1.error 13 float OUT 0 joint.1.f-error 13 float OUT 0.0025 joint.1.f-error-lim 13 bit OUT FALSE joint.1.f-errored 13 bit OUT FALSE joint.1.faulted 13 float OUT 0 joint.1.free-pos-cmd 13 bit OUT FALSE joint.1.free-tp-enable 13 float OUT 0 joint.1.free-vel-lim 13 s32 OUT 0 joint.1.home-state 13 bit IN TRUE joint.1.home-sw-in <== joint-1-home 13 bit OUT FALSE joint.1.homed 13 bit OUT FALSE joint.1.homing 13 bit OUT TRUE joint.1.in-position 13 bit I/O FALSE joint.1.index-enable 13 float IN 1 joint.1.jog-accel-fraction 13 s32 IN 0 joint.1.jog-counts 13 bit IN FALSE joint.1.jog-enable 13 float IN 0 joint.1.jog-scale 13 bit IN FALSE joint.1.jog-vel-mode 13 bit OUT FALSE joint.1.kb-jog-active 13 float OUT 0 joint.1.motor-offset 13 float OUT 0 joint.1.motor-pos-cmd ==> joint-1-pos-cmd 13 float IN 0 joint.1.motor-pos-fb <== joint-1-pos-fb 13 bit OUT FALSE joint.1.neg-hard-limit 13 bit IN FALSE joint.1.neg-lim-sw-in 13 float OUT 0 joint.1.pos-cmd 13 float OUT 0 joint.1.pos-fb 13 bit OUT FALSE joint.1.pos-hard-limit 13 bit IN FALSE joint.1.pos-lim-sw-in 13 float OUT 0 joint.1.vel-cmd 13 bit OUT FALSE joint.1.wheel-jog-active 13 float OUT 0 joint.2.acc-cmd 13 bit OUT TRUE joint.2.active 13 bit OUT FALSE joint.2.amp-enable-out ==> joint-2-enable 13 bit IN FALSE joint.2.amp-fault-in 13 float OUT 0 joint.2.backlash-corr 13 float OUT 0 joint.2.backlash-filt 13 float OUT 0 joint.2.backlash-vel 13 float OUT 0 joint.2.coarse-pos-cmd 13 bit OUT FALSE joint.2.error 13 float OUT 0 joint.2.f-error 13 float OUT 0.0025 joint.2.f-error-lim 13 bit OUT FALSE joint.2.f-errored 13 bit OUT FALSE joint.2.faulted 13 float OUT 0 joint.2.free-pos-cmd 13 bit OUT FALSE joint.2.free-tp-enable 13 float OUT 0 joint.2.free-vel-lim 13 s32 OUT 0 joint.2.home-state 13 bit IN FALSE joint.2.home-sw-in <== joint-2-home 13 bit OUT FALSE joint.2.homed 13 bit OUT FALSE joint.2.homing 13 bit OUT TRUE joint.2.in-position 13 bit I/O FALSE joint.2.index-enable 13 float IN 1 joint.2.jog-accel-fraction 13 s32 IN 0 joint.2.jog-counts 13 bit IN FALSE joint.2.jog-enable 13 float IN 0 joint.2.jog-scale 13 bit IN FALSE joint.2.jog-vel-mode 13 bit OUT FALSE joint.2.kb-jog-active 13 float OUT 0 joint.2.motor-offset 13 float OUT 0 joint.2.motor-pos-cmd ==> joint-2-pos-cmd 13 float IN 0 joint.2.motor-pos-fb <== joint-2-pos-fb 13 bit OUT FALSE joint.2.neg-hard-limit 13 bit IN FALSE joint.2.neg-lim-sw-in <== neg-limit-joint-2 13 float OUT 0 joint.2.pos-cmd 13 float OUT 0 joint.2.pos-fb 13 bit OUT FALSE joint.2.pos-hard-limit 13 bit IN FALSE joint.2.pos-lim-sw-in <== pos-limit-joint-2 13 float OUT 0 joint.2.vel-cmd 13 bit OUT FALSE joint.2.wheel-jog-active 13 float OUT 0 joint.3.acc-cmd 13 bit OUT TRUE joint.3.active 13 bit OUT FALSE joint.3.amp-enable-out ==> joint-3-enable 13 bit IN FALSE joint.3.amp-fault-in 13 float OUT 0 joint.3.backlash-corr 13 float OUT 0 joint.3.backlash-filt 13 float OUT 0 joint.3.backlash-vel 13 float OUT 0 joint.3.coarse-pos-cmd 13 bit OUT FALSE joint.3.error 13 float OUT 0 joint.3.f-error 13 float OUT 0.0025 joint.3.f-error-lim 13 bit OUT FALSE joint.3.f-errored 13 bit OUT FALSE joint.3.faulted 13 float OUT 0 joint.3.free-pos-cmd 13 bit OUT FALSE joint.3.free-tp-enable 13 float OUT 0 joint.3.free-vel-lim 13 s32 OUT 0 joint.3.home-state 13 bit IN TRUE joint.3.home-sw-in <== joint-3-home 13 bit OUT FALSE joint.3.homed 13 bit OUT FALSE joint.3.homing 13 bit OUT TRUE joint.3.in-position 13 bit I/O FALSE joint.3.index-enable 13 float IN 1 joint.3.jog-accel-fraction 13 s32 IN 0 joint.3.jog-counts 13 bit IN FALSE joint.3.jog-enable 13 float IN 0 joint.3.jog-scale 13 bit IN FALSE joint.3.jog-vel-mode 13 bit OUT FALSE joint.3.kb-jog-active 13 float OUT 0 joint.3.motor-offset 13 float OUT 0 joint.3.motor-pos-cmd ==> joint-3-pos-cmd 13 float IN 0 joint.3.motor-pos-fb <== joint-3-pos-fb 13 bit OUT FALSE joint.3.neg-hard-limit 13 bit IN FALSE joint.3.neg-lim-sw-in 13 float OUT 0 joint.3.pos-cmd 13 float OUT 0 joint.3.pos-fb 13 bit OUT FALSE joint.3.pos-hard-limit 13 bit IN FALSE joint.3.pos-lim-sw-in 13 float OUT 0 joint.3.vel-cmd 13 bit OUT FALSE joint.3.wheel-jog-active 13 s32 OUT 1042 motion-command-handler.time 13 s32 OUT 20106 motion-controller.time 13 float IN 1 motion.adaptive-feed 13 float IN 0 motion.analog-in-00 13 float IN 0 motion.analog-in-01 13 float IN 0 motion.analog-in-02 13 float IN 0 motion.analog-in-03 13 float OUT 0 motion.analog-out-00 13 float OUT 0 motion.analog-out-01 13 float OUT 0 motion.analog-out-02 13 float OUT 0 motion.analog-out-03 13 bit OUT FALSE motion.coord-error 13 bit OUT FALSE motion.coord-mode 13 float OUT 0 motion.current-vel 13 bit IN FALSE motion.digital-in-00 13 bit IN FALSE motion.digital-in-01 13 bit IN FALSE motion.digital-in-02 13 bit IN FALSE motion.digital-in-03 13 bit OUT FALSE motion.digital-out-00 13 bit OUT FALSE motion.digital-out-01 13 bit OUT FALSE motion.digital-out-02 13 bit OUT FALSE motion.digital-out-03 13 float OUT 0 motion.distance-to-go 13 bit IN TRUE motion.enable 13 bit OUT FALSE motion.eoffset-active 13 bit OUT FALSE motion.eoffset-limited 13 bit IN FALSE motion.feed-hold 13 bit IN FALSE motion.feed-inhibit 13 bit IN FALSE motion.homing-inhibit 13 bit OUT TRUE motion.in-position 13 bit OUT FALSE motion.motion-enabled 13 s32 OUT 0 motion.motion-type 13 bit OUT FALSE motion.on-soft-limit 13 bit IN FALSE motion.probe-input 13 s32 OUT 0 motion.program-line 13 float OUT 0 motion.requested-vel 13 u32 OUT 0x00383AA6 motion.servo.last-period 13 bit OUT FALSE motion.teleop-mode 13 float OUT 0 motion.tooloffset.a 13 float OUT 0 motion.tooloffset.b 13 float OUT 0 motion.tooloffset.c 13 float OUT 0 motion.tooloffset.u 13 float OUT 0 motion.tooloffset.v 13 float OUT 0 motion.tooloffset.w 13 float OUT 0 motion.tooloffset.x 13 float OUT 0 motion.tooloffset.y 13 float OUT 0 motion.tooloffset.z 13 bit OUT FALSE motion.tp-reverse 17 float IN 0 pid.0.Dgain 17 float IN 0 pid.0.FF0 17 float IN 1 pid.0.FF1 17 float IN 0.00013 pid.0.FF2 17 float IN 0 pid.0.FF3 17 float IN 0 pid.0.Igain 17 float IN 1000 pid.0.Pgain 17 float IN 0 pid.0.bias 17 float IN 0 pid.0.command <== joint-0-pos-cmd 17 float IN 0 pid.0.command-deriv 17 float IN 0 pid.0.deadband 17 s32 OUT 1980 pid.0.do-pid-calcs.time 17 bit IN FALSE pid.0.enable <== joint-0-enable 17 float OUT 0 pid.0.error 17 bit IN TRUE pid.0.error-previous-target 17 float IN 0 pid.0.feedback <== joint-0-pos-fb 17 float IN 0 pid.0.feedback-deriv 17 bit IN FALSE pid.0.index-enable <== joint-0-index-enable 17 float IN 0 pid.0.maxcmdD 17 float IN 0 pid.0.maxcmdDD 17 float IN 0 pid.0.maxcmdDDD 17 float IN 0.0005 pid.0.maxerror 17 float IN 0 pid.0.maxerrorD 17 float IN 0 pid.0.maxerrorI 17 float IN 0 pid.0.maxoutput 17 float OUT 0 pid.0.output ==> joint.0.output 17 bit OUT FALSE pid.0.saturated 17 s32 OUT 0 pid.0.saturated-count 17 float OUT 0 pid.0.saturated-s 17 float IN 0 pid.1.Dgain 17 float IN 0 pid.1.FF0 17 float IN 1 pid.1.FF1 17 float IN 0.00013 pid.1.FF2 17 float IN 0 pid.1.FF3 17 float IN 0 pid.1.Igain 17 float IN 1000 pid.1.Pgain 17 float IN 0 pid.1.bias 17 float IN 0 pid.1.command <== joint-1-pos-cmd 17 float IN 0 pid.1.command-deriv 17 float IN 0 pid.1.deadband 17 s32 OUT 622 pid.1.do-pid-calcs.time 17 bit IN FALSE pid.1.enable <== joint-1-enable 17 float OUT 0 pid.1.error 17 bit IN TRUE pid.1.error-previous-target 17 float IN 0 pid.1.feedback <== joint-1-pos-fb 17 float IN 0 pid.1.feedback-deriv 17 bit IN FALSE pid.1.index-enable <== joint-1-index-enable 17 float IN 0 pid.1.maxcmdD 17 float IN 0 pid.1.maxcmdDD 17 float IN 0 pid.1.maxcmdDDD 17 float IN 0.0005 pid.1.maxerror 17 float IN 0 pid.1.maxerrorD 17 float IN 0 pid.1.maxerrorI 17 float IN 0 pid.1.maxoutput 17 float OUT 0 pid.1.output ==> joint.1.output 17 bit OUT FALSE pid.1.saturated 17 s32 OUT 0 pid.1.saturated-count 17 float OUT 0 pid.1.saturated-s 17 float IN 0 pid.2.Dgain 17 float IN 0 pid.2.FF0 17 float IN 1 pid.2.FF1 17 float IN 0.00013 pid.2.FF2 17 float IN 0 pid.2.FF3 17 float IN 0 pid.2.Igain 17 float IN 1000 pid.2.Pgain 17 float IN 0 pid.2.bias 17 float IN 0 pid.2.command <== joint-2-pos-cmd 17 float IN 0 pid.2.command-deriv 17 float IN 0 pid.2.deadband 17 s32 OUT 640 pid.2.do-pid-calcs.time 17 bit IN FALSE pid.2.enable <== joint-2-enable 17 float OUT 0 pid.2.error 17 bit IN TRUE pid.2.error-previous-target 17 float IN 0 pid.2.feedback <== joint-2-pos-fb 17 float IN 0 pid.2.feedback-deriv 17 bit IN FALSE pid.2.index-enable <== joint-2-index-enable 17 float IN 0 pid.2.maxcmdD 17 float IN 0 pid.2.maxcmdDD 17 float IN 0 pid.2.maxcmdDDD 17 float IN 0.0005 pid.2.maxerror 17 float IN 0 pid.2.maxerrorD 17 float IN 0 pid.2.maxerrorI 17 float IN 0 pid.2.maxoutput 17 float OUT 0 pid.2.output ==> joint.2.output 17 bit OUT FALSE pid.2.saturated 17 s32 OUT 0 pid.2.saturated-count 17 float OUT 0 pid.2.saturated-s 17 float IN 0 pid.3.Dgain 17 float IN 0 pid.3.FF0 17 float IN 1 pid.3.FF1 17 float IN 0.00013 pid.3.FF2 17 float IN 0 pid.3.FF3 17 float IN 0 pid.3.Igain 17 float IN 1000 pid.3.Pgain 17 float IN 0 pid.3.bias 17 float IN 0 pid.3.command <== joint-3-pos-cmd 17 float IN 0 pid.3.command-deriv 17 float IN 0 pid.3.deadband 17 s32 OUT 1544 pid.3.do-pid-calcs.time 17 bit IN FALSE pid.3.enable <== joint-3-enable 17 float OUT 0 pid.3.error 17 bit IN TRUE pid.3.error-previous-target 17 float IN 0 pid.3.feedback <== joint-3-pos-fb 17 float IN 0 pid.3.feedback-deriv 17 bit IN FALSE pid.3.index-enable <== joint-3-index-enable 17 float IN 0 pid.3.maxcmdD 17 float IN 0 pid.3.maxcmdDD 17 float IN 0 pid.3.maxcmdDDD 17 float IN 0.0005 pid.3.maxerror 17 float IN 0 pid.3.maxerrorD 17 float IN 0 pid.3.maxerrorI 17 float IN 0 pid.3.maxoutput 17 float OUT 0 pid.3.output ==> joint.3.output 17 bit OUT FALSE pid.3.saturated 17 s32 OUT 0 pid.3.saturated-count 17 float OUT 0 pid.3.saturated-s 17 float IN 0 pid.4.Dgain 17 float IN 0 pid.4.FF0 17 float IN 0 pid.4.FF1 17 float IN 0 pid.4.FF2 17 float IN 0 pid.4.FF3 17 float IN 0 pid.4.Igain 17 float IN 1 pid.4.Pgain 17 float IN 0 pid.4.bias 17 float IN 0 pid.4.command 17 float IN 0 pid.4.command-deriv 17 float IN 0 pid.4.deadband 17 s32 OUT 664 pid.4.do-pid-calcs.time 17 bit IN FALSE pid.4.enable 17 float OUT 0 pid.4.error 17 bit IN TRUE pid.4.error-previous-target 17 float IN 0 pid.4.feedback 17 float IN 0 pid.4.feedback-deriv 17 bit IN FALSE pid.4.index-enable 17 float IN 0 pid.4.maxcmdD 17 float IN 0 pid.4.maxcmdDD 17 float IN 0 pid.4.maxcmdDDD 17 float IN 0 pid.4.maxerror 17 float IN 0 pid.4.maxerrorD 17 float IN 0 pid.4.maxerrorI 17 float IN 0 pid.4.maxoutput 17 float OUT 0 pid.4.output 17 bit OUT FALSE pid.4.saturated 17 s32 OUT 0 pid.4.saturated-count 17 float OUT 0 pid.4.saturated-s 39 bit IN FALSE pyvcp.Ausgang_5_LED 39 bit OUT FALSE pyvcp.Ausgang_5_OFF 39 bit OUT FALSE pyvcp.Ausgang_5_ON 39 bit IN FALSE pyvcp.C1_Induktiv 39 float OUT 0.03 pyvcp.STEP_X 39 float OUT 0 pyvcp.STEP_Z 39 bit IN FALSE pyvcp.X1_Induktiv <== joint-0-home 39 bit IN FALSE pyvcp.X2_Induktiv <== GUI_LED_X2 39 bit OUT FALSE pyvcp.X_Negativ 39 bit OUT FALSE pyvcp.X_Positiv 39 bit IN FALSE pyvcp.Z1_Induktiv <== GUI_LED_Z1 39 bit IN FALSE pyvcp.Z2_Induktiv <== GUI_LED_Z2 39 bit IN FALSE pyvcp.Z3_Induktiv <== joint-2-home 39 bit IN FALSE pyvcp.Z4_Induktiv <== GUI_LED_Z4 39 bit OUT FALSE pyvcp.Z_Negativ 39 bit OUT FALSE pyvcp.Z_Positiv 39 float IN 0 pyvcp.spinbox.0.param_pin 39 float IN 0 pyvcp.spinbox.1.param_pin 14 s32 OUT 413606 servo-thread.time 13 bit IN FALSE spindle.0.amp-fault-in 13 bit IN TRUE spindle.0.at-speed 13 bit OUT TRUE spindle.0.brake 13 bit OUT FALSE spindle.0.forward 13 bit I/O FALSE spindle.0.index-enable 13 bit IN FALSE spindle.0.inhibit 13 bit IN FALSE spindle.0.is-oriented 13 bit OUT FALSE spindle.0.locked 13 bit OUT FALSE spindle.0.on 13 bit OUT FALSE spindle.0.orient 13 float OUT 0 spindle.0.orient-angle 13 s32 IN 0 spindle.0.orient-fault 13 s32 OUT 0 spindle.0.orient-mode 13 bit OUT FALSE spindle.0.reverse 13 float IN 0 spindle.0.revs 13 float OUT 0 spindle.0.speed-cmd-rps 13 float IN 0 spindle.0.speed-in 13 float OUT 0 spindle.0.speed-out 13 float OUT 0 spindle.0.speed-out-abs 13 float OUT 0 spindle.0.speed-out-rps 13 float OUT 0 spindle.0.speed-out-rps-abs Pin Aliases: Alias Original Name Signals: Type Value Name (linked to) bit FALSE GUI_LED_X2 <== hm2_7i95.0.inmux.00.input-06 ==> pyvcp.X2_Induktiv bit FALSE GUI_LED_Z1 <== hm2_7i95.0.inmux.00.input-05 ==> pyvcp.Z1_Induktiv bit FALSE GUI_LED_Z2 <== hm2_7i95.0.inmux.00.input-04 ==> pyvcp.Z2_Induktiv bit FALSE GUI_LED_Z4 <== hm2_7i95.0.inmux.00.input-02 ==> pyvcp.Z4_Induktiv bit FALSE estop-loopin ==> estop-latch.0.ok-in <== iocontrol.0.user-enable-out bit FALSE estop-loopout <== estop-latch.0.ok-out ==> iocontrol.0.emc-enable-in bit FALSE estop-reset ==> estop-latch.0.reset <== iocontrol.0.user-request-enable bit FALSE joint-0-enable ==> hm2_7i95.0.stepgen.00.enable <== joint.0.amp-enable-out ==> pid.0.enable bit FALSE joint-0-home <== hm2_7i95.0.inmux.00.input-07 ==> joint.0.home-sw-in ==> pyvcp.X1_Induktiv bit FALSE joint-0-index-enable ==> pid.0.index-enable float 0 joint-0-pos-cmd <== joint.0.motor-pos-cmd ==> pid.0.command float 0 joint-0-pos-fb <== hm2_7i95.0.stepgen.00.position-fb ==> joint.0.motor-pos-fb ==> pid.0.feedback bit FALSE joint-1-enable ==> hm2_7i95.0.stepgen.01.enable <== joint.1.amp-enable-out ==> pid.1.enable bit TRUE joint-1-home <== hm2_7i95.0.inmux.00.input-00 ==> joint.1.home-sw-in bit FALSE joint-1-index-enable ==> pid.1.index-enable float 0 joint-1-pos-cmd <== joint.1.motor-pos-cmd ==> pid.1.command float 0 joint-1-pos-fb <== hm2_7i95.0.stepgen.01.position-fb ==> joint.1.motor-pos-fb ==> pid.1.feedback bit FALSE joint-2-enable ==> hm2_7i95.0.stepgen.02.enable <== joint.2.amp-enable-out ==> pid.2.enable bit FALSE joint-2-home <== hm2_7i95.0.inmux.00.input-03 ==> joint.2.home-sw-in ==> pyvcp.Z3_Induktiv bit FALSE joint-2-index-enable ==> pid.2.index-enable float 0 joint-2-pos-cmd <== joint.2.motor-pos-cmd ==> pid.2.command float 0 joint-2-pos-fb <== hm2_7i95.0.stepgen.02.position-fb ==> joint.2.motor-pos-fb ==> pid.2.feedback bit FALSE joint-3-enable ==> hm2_7i95.0.stepgen.03.enable <== joint.3.amp-enable-out ==> pid.3.enable bit TRUE joint-3-home <== hm2_7i95.0.inmux.00.input-16-not ==> joint.3.home-sw-in bit FALSE joint-3-index-enable ==> pid.3.index-enable float 0 joint-3-pos-cmd <== joint.3.motor-pos-cmd ==> pid.3.command float 0 joint-3-pos-fb <== hm2_7i95.0.stepgen.03.position-fb ==> joint.3.motor-pos-fb ==> pid.3.feedback float 0 joint.0.output ==> hm2_7i95.0.stepgen.00.velocity-cmd <== pid.0.output float 0 joint.1.output ==> hm2_7i95.0.stepgen.01.velocity-cmd <== pid.1.output float 0 joint.2.output ==> hm2_7i95.0.stepgen.02.velocity-cmd <== pid.2.output float 0 joint.3.output ==> hm2_7i95.0.stepgen.03.velocity-cmd <== pid.3.output bit FALSE neg-limit-joint-0 <== hm2_7i95.0.inmux.00.input-13-not ==> joint.0.neg-lim-sw-in bit FALSE neg-limit-joint-2 <== hm2_7i95.0.inmux.00.input-09-not ==> joint.2.neg-lim-sw-in bit FALSE pos-limit-joint-0 <== hm2_7i95.0.inmux.00.input-12-not ==> joint.0.pos-lim-sw-in bit FALSE pos-limit-joint-2 <== hm2_7i95.0.inmux.00.input-08-not ==> joint.2.pos-lim-sw-in bit FALSE remote-estop ==> estop-latch.0.fault-in <== hm2_7i95.0.inmux.00.input-14-not Parameters: Owner Type Dir Value Name 28 s32 RW 13968 estop-latch.0.tmax 28 bit RO FALSE estop-latch.0.tmax-increased 23 bit RW FALSE hm2_7i95.0.encoder.00.counter-mode 23 bit RW TRUE hm2_7i95.0.encoder.00.filter 23 bit RW FALSE hm2_7i95.0.encoder.00.index-invert 23 bit RW FALSE hm2_7i95.0.encoder.00.index-mask 23 bit RW FALSE hm2_7i95.0.encoder.00.index-mask-invert 23 float RW 1 hm2_7i95.0.encoder.00.scale 23 bit RW FALSE hm2_7i95.0.encoder.00.sel0.invert_output 23 bit RW FALSE hm2_7i95.0.encoder.00.sel0.is_opendrain 23 float RW 0.5 hm2_7i95.0.encoder.00.vel-timeout 23 bit RW FALSE hm2_7i95.0.encoder.01.counter-mode 23 bit RW TRUE hm2_7i95.0.encoder.01.filter 23 bit RW FALSE hm2_7i95.0.encoder.01.index-invert 23 bit RW FALSE hm2_7i95.0.encoder.01.index-mask 23 bit RW FALSE hm2_7i95.0.encoder.01.index-mask-invert 23 float RW 1 hm2_7i95.0.encoder.01.scale 23 float RW 0.5 hm2_7i95.0.encoder.01.vel-timeout 23 bit RW FALSE hm2_7i95.0.encoder.02.counter-mode 23 bit RW TRUE hm2_7i95.0.encoder.02.filter 23 bit RW FALSE hm2_7i95.0.encoder.02.index-invert 23 bit RW FALSE hm2_7i95.0.encoder.02.index-mask 23 bit RW FALSE hm2_7i95.0.encoder.02.index-mask-invert 23 float RW 1 hm2_7i95.0.encoder.02.scale 23 float RW 0.5 hm2_7i95.0.encoder.02.vel-timeout 23 bit RW FALSE hm2_7i95.0.encoder.03.counter-mode 23 bit RW TRUE hm2_7i95.0.encoder.03.filter 23 bit RW FALSE hm2_7i95.0.encoder.03.index-invert 23 bit RW FALSE hm2_7i95.0.encoder.03.index-mask 23 bit RW FALSE hm2_7i95.0.encoder.03.index-mask-invert 23 float RW 1 hm2_7i95.0.encoder.03.scale 23 float RW 0.5 hm2_7i95.0.encoder.03.vel-timeout 23 bit RW FALSE hm2_7i95.0.encoder.04.counter-mode 23 bit RW TRUE hm2_7i95.0.encoder.04.filter 23 bit RW FALSE hm2_7i95.0.encoder.04.index-invert 23 bit RW FALSE hm2_7i95.0.encoder.04.index-mask 23 bit RW FALSE hm2_7i95.0.encoder.04.index-mask-invert 23 float RW 1 hm2_7i95.0.encoder.04.scale 23 float RW 0.5 hm2_7i95.0.encoder.04.vel-timeout 23 bit RW FALSE hm2_7i95.0.encoder.05.counter-mode 23 bit RW TRUE hm2_7i95.0.encoder.05.filter 23 bit RW FALSE hm2_7i95.0.encoder.05.index-invert 23 bit RW FALSE hm2_7i95.0.encoder.05.index-mask 23 bit RW FALSE hm2_7i95.0.encoder.05.index-mask-invert 23 float RW 1 hm2_7i95.0.encoder.05.scale 23 float RW 0.5 hm2_7i95.0.encoder.05.vel-timeout 23 bit RW FALSE hm2_7i95.0.gpio.012.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.012.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.012.is_output 23 bit RW FALSE hm2_7i95.0.gpio.013.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.013.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.013.is_output 23 bit RW FALSE hm2_7i95.0.gpio.014.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.014.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.014.is_output 23 bit RW FALSE hm2_7i95.0.gpio.015.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.015.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.015.is_output 23 bit RW FALSE hm2_7i95.0.gpio.016.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.016.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.016.is_output 23 bit RW FALSE hm2_7i95.0.gpio.017.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.017.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.017.is_output 23 bit RW FALSE hm2_7i95.0.gpio.028.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.028.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.029.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.029.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.030.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.030.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.031.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.031.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.032.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.032.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.034.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.034.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.035.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.035.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.036.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.036.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.037.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.037.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.038.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.038.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.039.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.039.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.040.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.040.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.041.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.041.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.041.is_output 23 bit RW FALSE hm2_7i95.0.gpio.042.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.042.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.042.is_output 23 bit RW FALSE hm2_7i95.0.gpio.043.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.043.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.043.is_output 23 bit RW FALSE hm2_7i95.0.gpio.044.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.044.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.044.is_output 23 bit RW FALSE hm2_7i95.0.gpio.045.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.045.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.045.is_output 23 bit RW FALSE hm2_7i95.0.gpio.046.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.046.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.046.is_output 23 bit RW FALSE hm2_7i95.0.gpio.047.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.047.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.047.is_output 23 bit RW FALSE hm2_7i95.0.gpio.048.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.048.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.048.is_output 23 bit RW FALSE hm2_7i95.0.gpio.049.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.049.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.049.is_output 23 bit RW FALSE hm2_7i95.0.gpio.050.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.050.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.050.is_output 23 bit RW FALSE hm2_7i95.0.gpio.051.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.051.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.051.is_output 23 bit RW FALSE hm2_7i95.0.gpio.052.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.052.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.052.is_output 23 bit RW FALSE hm2_7i95.0.gpio.053.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.053.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.053.is_output 23 bit RW FALSE hm2_7i95.0.gpio.054.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.054.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.054.is_output 23 bit RW FALSE hm2_7i95.0.gpio.055.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.055.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.055.is_output 23 bit RW FALSE hm2_7i95.0.gpio.056.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.056.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.056.is_output 23 bit RW FALSE hm2_7i95.0.gpio.057.invert_output 23 bit RW FALSE hm2_7i95.0.gpio.057.is_opendrain 23 bit RW FALSE hm2_7i95.0.gpio.057.is_output 23 bit RW FALSE hm2_7i95.0.inmux.00.enc0_4xmode 23 bit RW FALSE hm2_7i95.0.inmux.00.enc1_4xmode 23 bit RW FALSE hm2_7i95.0.inmux.00.enc2_4xmode 23 bit RW FALSE hm2_7i95.0.inmux.00.enc3_4xmode 23 u32 RW 0x00000005 hm2_7i95.0.inmux.00.fast_scans 23 u32 RW 0x00004E20 hm2_7i95.0.inmux.00.scan_rate 23 u32 RO 0x00000018 hm2_7i95.0.inmux.00.scan_width 23 u32 RW 0x000001F4 hm2_7i95.0.inmux.00.slow_scans 23 bit RW FALSE hm2_7i95.0.io_error 23 s32 RO 1 hm2_7i95.0.packet-error-decrement 23 s32 RW 2 hm2_7i95.0.packet-error-increment 23 s32 RW 10 hm2_7i95.0.packet-error-limit 23 s32 RW 80 hm2_7i95.0.packet-read-timeout 23 s32 RW 0 hm2_7i95.0.read-request.tmax 23 bit RO FALSE hm2_7i95.0.read-request.tmax-increased 23 s32 RW 1437356 hm2_7i95.0.read.tmax 23 bit RO FALSE hm2_7i95.0.read.tmax-increased 23 bit RW FALSE hm2_7i95.0.stepgen.00.direction.invert_output 23 bit RW FALSE hm2_7i95.0.stepgen.00.direction.is_opendrain 23 u32 RW 0x00002710 hm2_7i95.0.stepgen.00.dirhold 23 u32 RW 0x00002710 hm2_7i95.0.stepgen.00.dirsetup 23 float RW 144 hm2_7i95.0.stepgen.00.maxaccel 23 float RW 30 hm2_7i95.0.stepgen.00.maxvel 23 float RW 213.4418 hm2_7i95.0.stepgen.00.position-scale 23 bit RW FALSE hm2_7i95.0.stepgen.00.step.invert_output 23 bit RW FALSE hm2_7i95.0.stepgen.00.step.is_opendrain 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.00.step_type 23 u32 RW 0x000009C4 hm2_7i95.0.stepgen.00.steplen 23 u32 RW 0x000009C4 hm2_7i95.0.stepgen.00.stepspace 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.00.table-data-0 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.00.table-data-1 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.00.table-data-2 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.00.table-data-3 23 bit RW FALSE hm2_7i95.0.stepgen.01.direction.invert_output 23 bit RW FALSE hm2_7i95.0.stepgen.01.direction.is_opendrain 23 u32 RW 0x00002710 hm2_7i95.0.stepgen.01.dirhold 23 u32 RW 0x00002710 hm2_7i95.0.stepgen.01.dirsetup 23 float RW 720 hm2_7i95.0.stepgen.01.maxaccel 23 float RW 72 hm2_7i95.0.stepgen.01.maxvel 23 float RW 44.44444 hm2_7i95.0.stepgen.01.position-scale 23 bit RW FALSE hm2_7i95.0.stepgen.01.step.invert_output 23 bit RW FALSE hm2_7i95.0.stepgen.01.step.is_opendrain 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.01.step_type 23 u32 RW 0x000009C4 hm2_7i95.0.stepgen.01.steplen 23 u32 RW 0x000009C4 hm2_7i95.0.stepgen.01.stepspace 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.01.table-data-0 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.01.table-data-1 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.01.table-data-2 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.01.table-data-3 23 bit RW FALSE hm2_7i95.0.stepgen.02.direction.invert_output 23 bit RW FALSE hm2_7i95.0.stepgen.02.direction.is_opendrain 23 u32 RW 0x000007D0 hm2_7i95.0.stepgen.02.dirhold 23 u32 RW 0x000007D0 hm2_7i95.0.stepgen.02.dirsetup 23 float RW 120 hm2_7i95.0.stepgen.02.maxaccel 23 float RW 12 hm2_7i95.0.stepgen.02.maxvel 23 float RW 3203.86 hm2_7i95.0.stepgen.02.position-scale 23 bit RW FALSE hm2_7i95.0.stepgen.02.step.invert_output 23 bit RW FALSE hm2_7i95.0.stepgen.02.step.is_opendrain 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.02.step_type 23 u32 RW 0x000007D0 hm2_7i95.0.stepgen.02.steplen 23 u32 RW 0x000007D0 hm2_7i95.0.stepgen.02.stepspace 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.02.table-data-0 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.02.table-data-1 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.02.table-data-2 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.02.table-data-3 23 bit RW FALSE hm2_7i95.0.stepgen.03.direction.invert_output 23 bit RW FALSE hm2_7i95.0.stepgen.03.direction.is_opendrain 23 u32 RW 0x00002710 hm2_7i95.0.stepgen.03.dirhold 23 u32 RW 0x00002710 hm2_7i95.0.stepgen.03.dirsetup 23 float RW 120 hm2_7i95.0.stepgen.03.maxaccel 23 float RW 36 hm2_7i95.0.stepgen.03.maxvel 23 float RW 42.22222 hm2_7i95.0.stepgen.03.position-scale 23 bit RW FALSE hm2_7i95.0.stepgen.03.step.invert_output 23 bit RW FALSE hm2_7i95.0.stepgen.03.step.is_opendrain 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.03.step_type 23 u32 RW 0x000009C4 hm2_7i95.0.stepgen.03.steplen 23 u32 RW 0x000009C4 hm2_7i95.0.stepgen.03.stepspace 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.03.table-data-0 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.03.table-data-1 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.03.table-data-2 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.03.table-data-3 23 bit RW FALSE hm2_7i95.0.stepgen.04.direction.invert_output 23 bit RW FALSE hm2_7i95.0.stepgen.04.direction.is_opendrain 23 u32 RW 0x00027FF6 hm2_7i95.0.stepgen.04.dirhold 23 u32 RW 0x00027FF6 hm2_7i95.0.stepgen.04.dirsetup 23 float RW 1 hm2_7i95.0.stepgen.04.maxaccel 23 float RW 0 hm2_7i95.0.stepgen.04.maxvel 23 float RW 1 hm2_7i95.0.stepgen.04.position-scale 23 bit RW FALSE hm2_7i95.0.stepgen.04.step.invert_output 23 bit RW FALSE hm2_7i95.0.stepgen.04.step.is_opendrain 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.04.step_type 23 u32 RW 0x00027FF6 hm2_7i95.0.stepgen.04.steplen 23 u32 RW 0x00027FF6 hm2_7i95.0.stepgen.04.stepspace 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.04.table-data-0 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.04.table-data-1 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.04.table-data-2 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.04.table-data-3 23 bit RW FALSE hm2_7i95.0.stepgen.05.direction.invert_output 23 bit RW FALSE hm2_7i95.0.stepgen.05.direction.is_opendrain 23 u32 RW 0x00027FF6 hm2_7i95.0.stepgen.05.dirhold 23 u32 RW 0x00027FF6 hm2_7i95.0.stepgen.05.dirsetup 23 float RW 1 hm2_7i95.0.stepgen.05.maxaccel 23 float RW 0 hm2_7i95.0.stepgen.05.maxvel 23 float RW 1 hm2_7i95.0.stepgen.05.position-scale 23 bit RW FALSE hm2_7i95.0.stepgen.05.step.invert_output 23 bit RW FALSE hm2_7i95.0.stepgen.05.step.is_opendrain 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.05.step_type 23 u32 RW 0x00027FF6 hm2_7i95.0.stepgen.05.steplen 23 u32 RW 0x00027FF6 hm2_7i95.0.stepgen.05.stepspace 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.05.table-data-0 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.05.table-data-1 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.05.table-data-2 23 u32 RW 0x00000000 hm2_7i95.0.stepgen.05.table-data-3 23 u32 RW 0x004C4B40 hm2_7i95.0.watchdog.timeout_ns 23 s32 RW 190742 hm2_7i95.0.write.tmax 23 bit RO FALSE hm2_7i95.0.write.tmax-increased 4 s32 RO 0 iocontrol.0.tool-prep-index 13 s32 RW 49018 motion-command-handler.tmax 13 bit RO FALSE motion-command-handler.tmax-increased 13 s32 RW 149958 motion-controller.tmax 13 bit RO FALSE motion-controller.tmax-increased 13 bit RO FALSE motion.debug-bit-0 13 bit RO FALSE motion.debug-bit-1 13 float RO 0 motion.debug-float-0 13 float RO 0 motion.debug-float-1 13 float RO 0 motion.debug-float-2 13 float RO 1 motion.debug-float-3 13 s32 RO 0 motion.debug-s32-0 13 s32 RO 0 motion.debug-s32-1 17 s32 RW 48108 pid.0.do-pid-calcs.tmax 17 bit RO FALSE pid.0.do-pid-calcs.tmax-increased 17 s32 RW 44304 pid.1.do-pid-calcs.tmax 17 bit RO FALSE pid.1.do-pid-calcs.tmax-increased 17 s32 RW 51304 pid.2.do-pid-calcs.tmax 17 bit RO FALSE pid.2.do-pid-calcs.tmax-increased 17 s32 RW 20194 pid.3.do-pid-calcs.tmax 17 bit RO FALSE pid.3.do-pid-calcs.tmax-increased 17 s32 RW 46680 pid.4.do-pid-calcs.tmax 17 bit RO FALSE pid.4.do-pid-calcs.tmax-increased 14 s32 RW 1470902 servo-thread.tmax 13 float RO 0 tc.0.acc 13 float RO 0 tc.0.pos 13 float RO 0 tc.0.vel 13 float RO 0 tc.1.acc 13 float RO 0 tc.1.pos 13 float RO 0 tc.1.vel 13 float RO 0 tc.2.acc 13 float RO 0 tc.2.pos 13 float RO 0 tc.2.vel 13 float RO 0 tc.3.acc 13 float RO 0 tc.3.pos 13 float RO 0 tc.3.vel 13 u32 RO 0x00000000 traj.active_tc 13 float RO 0 traj.pos_out 13 float RO 0 traj.vel_out Parameter Aliases: Alias Original Name hm2_7i95.0.encoder.00.sel0.invert_output hm2_7i95.0.gpio.027.invert_output hm2_7i95.0.encoder.00.sel0.is_opendrain hm2_7i95.0.gpio.027.is_opendrain hm2_7i95.0.stepgen.00.direction.invert_output hm2_7i95.0.gpio.001.invert_output hm2_7i95.0.stepgen.00.direction.is_opendrain hm2_7i95.0.gpio.001.is_opendrain hm2_7i95.0.stepgen.00.step.invert_output hm2_7i95.0.gpio.000.invert_output hm2_7i95.0.stepgen.00.step.is_opendrain hm2_7i95.0.gpio.000.is_opendrain hm2_7i95.0.stepgen.01.direction.invert_output hm2_7i95.0.gpio.003.invert_output hm2_7i95.0.stepgen.01.direction.is_opendrain hm2_7i95.0.gpio.003.is_opendrain hm2_7i95.0.stepgen.01.step.invert_output hm2_7i95.0.gpio.002.invert_output hm2_7i95.0.stepgen.01.step.is_opendrain hm2_7i95.0.gpio.002.is_opendrain hm2_7i95.0.stepgen.02.direction.invert_output hm2_7i95.0.gpio.005.invert_output hm2_7i95.0.stepgen.02.direction.is_opendrain hm2_7i95.0.gpio.005.is_opendrain hm2_7i95.0.stepgen.02.step.invert_output hm2_7i95.0.gpio.004.invert_output hm2_7i95.0.stepgen.02.step.is_opendrain hm2_7i95.0.gpio.004.is_opendrain hm2_7i95.0.stepgen.03.direction.invert_output hm2_7i95.0.gpio.007.invert_output hm2_7i95.0.stepgen.03.direction.is_opendrain hm2_7i95.0.gpio.007.is_opendrain hm2_7i95.0.stepgen.03.step.invert_output hm2_7i95.0.gpio.006.invert_output hm2_7i95.0.stepgen.03.step.is_opendrain hm2_7i95.0.gpio.006.is_opendrain hm2_7i95.0.stepgen.04.direction.invert_output hm2_7i95.0.gpio.009.invert_output hm2_7i95.0.stepgen.04.direction.is_opendrain hm2_7i95.0.gpio.009.is_opendrain hm2_7i95.0.stepgen.04.step.invert_output hm2_7i95.0.gpio.008.invert_output hm2_7i95.0.stepgen.04.step.is_opendrain hm2_7i95.0.gpio.008.is_opendrain hm2_7i95.0.stepgen.05.direction.invert_output hm2_7i95.0.gpio.011.invert_output hm2_7i95.0.stepgen.05.direction.is_opendrain hm2_7i95.0.gpio.011.is_opendrain hm2_7i95.0.stepgen.05.step.invert_output hm2_7i95.0.gpio.010.invert_output hm2_7i95.0.stepgen.05.step.is_opendrain hm2_7i95.0.gpio.010.is_opendrain Exported Functions: Owner CodeAddr Arg FP Users Name 00028 7fcd8c10b175 7fcd8b373380 NO 1 estop-latch.0 00023 7fcd8afbb8a5 558a8dc54140 YES 1 hm2_7i95.0.read 00023 7fcd8afbb831 558a8dc54140 YES 0 hm2_7i95.0.read-request 00023 7fcd8afbb701 558a8dc54140 YES 1 hm2_7i95.0.write 00013 7fcd8b35a6e5 00000000 YES 1 motion-command-handler 00013 7fcd8b35df31 00000000 YES 1 motion-controller 00017 7fcd8c1101b5 7fcd8b371270 YES 1 pid.0.do-pid-calcs 00017 7fcd8c1101b5 7fcd8b3713b0 YES 1 pid.1.do-pid-calcs 00017 7fcd8c1101b5 7fcd8b3714f0 YES 1 pid.2.do-pid-calcs 00017 7fcd8c1101b5 7fcd8b371630 YES 1 pid.3.do-pid-calcs 00017 7fcd8c1101b5 7fcd8b371770 YES 1 pid.4.do-pid-calcs Realtime Threads: Period FP Name ( Time, Max-Time ) 1000000 YES servo-thread ( 413606, 1470902 ) 1 hm2_7i95.0.read 2 motion-command-handler 3 motion-controller 4 pid.0.do-pid-calcs 5 pid.1.do-pid-calcs 6 pid.2.do-pid-calcs 7 pid.3.do-pid-calcs 8 pid.4.do-pid-calcs 9 hm2_7i95.0.write 10 estop-latch.0